书签 分享 收藏 举报 版权申诉 / 123
上传文档赚钱

类型《数字电路与EDA设计》课件第7章 数字逻辑单元设计.ppt

  • 上传人(卖家):momomo
  • 文档编号:7873233
  • 上传时间:2024-08-29
  • 格式:PPT
  • 页数:123
  • 大小:2.18MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《《数字电路与EDA设计》课件第7章 数字逻辑单元设计.ppt》由用户(momomo)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    数字电路与EDA设计 数字电路与EDA设计课件第7章 数字逻辑单元设计 数字电路 EDA 设计 课件 数字 逻辑 单元
    资源描述:

    1、process(clk,clr,pre,c)begin if(clr=1)then q_tmp=0;elsif(pre=1)then q_tmp=1;elsif rising_edge(clk)then if(ce=1)then q_tmp=d;else q_tmp=q_tmp;end if;end if;end process;end rtl;输入输入输出输出RSCEJKCQ1XXXX001XXX1000XXX无变化无变化00100X无变化无变化00101000111翻转翻转001101 if(r=1)then q_tmp=0;elsif(s=1)then q_tmp=1;elsif ris

    2、ing_edge(clk)then if(ce=0)then q_tmp=q_tmp;else if(j=0 and k=1)then q_tmp=0;elsif(j=1 and k=0)then q_tmp=1;elsif(j=1 and k=1)then q_tmp=not q_tmp;end if;end if;end process;end rtl;输入输出RSCQ00无变化01110011无变化process(clk)begin if rising_edge(clk)then if(s=1 and r=0)then q_tmp=1;elsif(s=0 and r=1)then q_t

    3、mp=0;elsif(s=0 and r=0)then q_tmp=q_tmp;else null;end if;end if;end process;end rtl;architecture Behavioral of mod5cnt isbegin process(clk,clr)begin if(clr=1)then q=000;elsif(rising_edge(clk)then if(q=100)then q=000;else q=q+1;end if;end if;end process;end Behavioral;architecture Behavioral of clkdi

    4、v issignal q:std_logic_vector(24 downto 0);begin process(clr,clk)begin if(clr=1)then q0);elsif(rising_edge(clk)then q=q+1;end if;end process;clk190=q(17);-190Hz clk48=q(19);-47.7Hzend Behavioral;architecture Behavioral of ring_shiftreg4 isbegin process(clr,clk)begin if(clr=1)then q=0001;elsif(rising

    5、_edge(clk)then q(3)=q(0);q(2 downto 0)=q(3 downto 1);end if;end process;end Behavioral;architecture Behavioral of debounce4 issignal delay1,delay2,delay3:std_logic_vector(3 downto 0);begin process(cclk,clr,inp)begin if(clr=1)then delay1=0000;delay2=0000;delay3=0000;elsif(rising_edge(cclk)then delay1

    6、=inp;delay2=delay1;delay3=delay2;end if;end process;outp=delay1 and delay2 and delay3;end Behavioral;architecture Behavioral of clock_pluse issignal delay1,delay2,delay3:std_logic;begin process(clr,cclk)begin if(clr=1)then delay1=0;delay2=0;delay3=0;elsif(rising_edge(cclk)then delay1=inp;delay2=dela

    7、y1;delay3=delay2;end if;end process;outp=delay1 and delay2 and(not delay3);end Behavioral;%100周期占空占空比architecture Behavioral of pwm4 issignal count:STD_LOGIC_VECTOR(3 downto 0);signal set,reset:std_logic;Beginset=not(count(0)or count(1)or count(2)or count(3);process(clk,clr)begin if(clr=1)then count

    8、=0000;elsif(rising_edge(clk)then if(count=period1-1)then count=0000;else count=count+1;end if;end if;end process;process(clk)begin if(rising_edge(clk)then if(set=1)then pwm=1;end if;if(reset=1)then pwm=0;end if;end if;end process;end Behavioral;图4.16 ROM的结构图图4.17 单端口RAM的结构十进制数十进制数二进制码二进制码Gray码码Johns

    9、on码码One-hot吗吗000000000000110010010010102010011011100301101011110004100110510111161101017111100architecture Behavioral of seqdeta istype state is(s0,s1,s2,s3,s4);-状态声明signal present_state,next_state:state;beginprocess(clr,clk)begin if(clr=1)then -状态寄存器 present_state=s0;elsif rising_edge(clk)then pres

    10、ent_state=next_state;end if;end process;architecture Behavioral of seqdetb istype state is(s0,s1,s2,s3);-定义状态signal present_state,next_state:state;beginprocess(clr,clk)-状态寄存器begin if(clr=1)then present_state=s0;elsif rising_edge(clk)then present_state if(din=1)then next_state=s1;else next_state next_state=s0;end case;end process;该状态图包含:1)四个状态:s1,s2,s3,s4;2)5个转移;3)1个输入“x1”;4)1个输出“outp”;

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:《数字电路与EDA设计》课件第7章 数字逻辑单元设计.ppt
    链接地址:https://www.163wenku.com/p-7873233.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库