书签 分享 收藏 举报 版权申诉 / 90
上传文档赚钱

类型白中英数字逻辑习题答案课件.ppt

  • 上传人(卖家):ziliao2023
  • 文档编号:5857248
  • 上传时间:2023-05-12
  • 格式:PPT
  • 页数:90
  • 大小:2.02MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《白中英数字逻辑习题答案课件.ppt》由用户(ziliao2023)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    白中英 数字 逻辑 习题 答案 课件
    资源描述:

    1、第一章 开关理论基础(习 题一)数字逻辑与数字系统习 题解答1-8 用布尔代数化简逻辑函数表达式。(1)F=(A+B)(A B)=A B(2)F=A+ABC+ABC+CB+C B=A+BC+BC(3)F=AB+A B+AB+AB=0(5)F=ABCD+ABD+BCD+ABCD+BC=AB+BC+BD(4)F=(A+B+C)(A+B+C)=(A+B)+CC=A+B(6)F=AC+ABC+BC+ABC=BC(7)F=AB+ABC+A(B+AB)=0(8)F=(A+B)+(A+B)+(AB)(AB)=01-9 将下列函数展开为最小项表达式。(1)F(A,B,C)=A(B+C)=A+BC=(1,4,5

    2、,6,7)(2)F(A,B,C,D)=A B+ABD(B+CD)=(4,5,6,7,9,12,14)1-10 用卡诺图法化简下列各式。(2)F=ABCD+ABC D+AB+AD+ABC =AB+AD(1)F=AC+ABC+BC+ABC=C111111ABCD00 01 11 1000011110ABC00 01 11 100 100001111(3)F=AB+AB+BC+AC=A+B+CABC00 01 11 100 111111111-10 用卡诺图法化简下列各式。ABC00 01 11 100 11111111(4)F=AB+(A+B)(A+C)+A(A+C)=AB+A(A+C)+B(A+

    3、C)=A+B+C(5)F(A,B,C)=m(1,3,5,7)=C(6)F(A,B,C,D)=m(3,4,5,6,9,10,12,13,14,15)ABC00 01 11 100 111111-10 用卡诺图法化简下列各式。1111111111ABCD00 01 11 10000111101-10 用卡诺图法化简下列各式。(7)F(A,B,C,D)=m(0,1,2,5,6,7,8,9,13,14)(8)F(A,B,C,D)=m(0,13,14,15)+(1,2,3,9,10,11)1111111111ABCD00 01 11 10000111101111ABCD00 01 11 10000111

    4、101-11 利用与非门实现下列函数,并画出逻辑图。(1)F=ABC+AB C=AC=AC(2)F=(A+B)(C+D)=A B C D1-11 利用与非门实现下列函数,并画出逻辑图。(1)F=ABC+AB C=AC=AC(2)F=(A+B)(C+D)=A B C D1-12 利用或非门实现下列函数,并画出逻辑图。(1)F=AB+AC:F=AB+AC=AB AC=(A+B)(A+C)=(A+B)+(A+C)然后,两次求反即可。先求对偶式的最简与非表达式:F=(A+B)(A+C)=A B AC 再对F求对偶式:F=(A+B)+(A+C)先求F的反函数:F=AB+AC 再对 F 三次求反得:F=(

    5、A+B)+(A+C)(2)F(A,B,C,D)=m(0,1,2,4,6,10,14,15)=A+B+C+A+B+C+A+D+C+D 1-15 写出下面逻辑图的函数表达式,要求表出每一级门的输出。CDDCAB第一级门第二级门第三级门C DC DA BCD+CDAB(CD+CD)1-20 输入信号A、B、C的波形如下所示。试画出F1、F2的波形图。ABBACF2F1F1=A BF2=F1 CCABF1F2(习 题二)第二章 组合逻辑T2.1 分析下图所示的逻辑电路,写出表达式并进行简化。ABFF=AB+B=ABABCFF=AB BABC CABC =AB+AC+BC+BC =AB+BC+BCT2.

    6、2 分析下图所示的逻辑电路,写出表达式并进行简化。ABCFDBDBCCDADBDF=AD AD BD BD BC CD CF=AD+BD+C解解经化简后为:T2.3 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A、B 的关系。F1=A+BS0+BS1F2=ABS2+ABS3AA BA B00 00 11 01 1F1S1 S01A+BA+BA0 00 11 01 1F2S3 S2F1F1F1F10 0 0 1 1 0 1 1 F=F1F2S3 S2 S1 S0AA BA B0 0 0 0 1 1 0 1 1F=F1F2 S3 S2 S1 S0ABS1

    7、 S0FS3 S2F2F1F=F1F2=A+BS0+BS1T2.4 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。当A、B、C三个变量中有两个及两个以上同时为“1”时,F2=1。解ABF1CF2F1=ABC+ABC+ABC+B C =A BC+ABC+ABC =A(B+C)+ABCF2=A B+B C+A C =AB+BC+AC当BC时,F1=A;当B=C=1时,F1=A;当B=C=0时,F1=0。T2.5 右图所示为数据总线上的一种判零电路,写出F的逻辑表达式,说明该电路的逻辑功能。只有当变量A0A15全为0时,F=1;否则,F=0。因此,电路的功能是判断变量是否全部为逻辑“0”。解解F

    8、A0A3A4A7A8A11A12A15F=A0A1A2A3+A4A5A6A7+A8A9A10A11+A12A13A14A15 =A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15T2.6 分析下图所示逻辑电路,列出真值表,说明其逻辑关系。这是一个四选一的数据选择器。真值表如下:解解F=A1A0X0+A1A0X1+A1A0X2+A1A0X3 A1X0X1X2FX3A0X0 X1X2X30 00 11 01 1FA1 A0T2.7 下图所示为两种十进制数代码转换器,输入为余三码,问:输出为什么代码?这是一个余三码余三码 至8421BCD 码转换的电路。ABCWDXYZA

    9、B C DW X Y Z0 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 1解解W=AB+ACDX=BC+BD+BCDY=CD+CDZ=DT2.8 下图是一个受 M 控制的4位二进制码和格雷码的相互转换电路。M=1 时,完成自然二进制码至格雷码转换;M=0 时,完成相反转换。请说明之。X0MX1X2X3Y0Y1Y2Y3Y3=X3Y2=X2 +X3Y1=X1 +(MX2+

    10、MY2)Y0=X0 +(MX1+MY1)Y3=X3Y2=X2 +X3Y1=X1 +X2Y0=X0 +X1Y3=X3Y2=X2 +X3Y1=X1 +X2 +X3Y0=X0 +X1 +X2 +X3解当 M=1 时:当 M=0 时:列真值表如下:由真值表可知:M=1 时,完成8421 BCD码到格雷码的转换;M=0 时,完成格雷码到8421 BCD码的转换。0 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 00 0 0 00 0

    11、0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1Y3 Y2 Y1 Y0X3 X2 X1 X0M=1 的真值表0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01

    12、 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0Y3 Y2 Y1 Y0X3 X2 X1 X0M=0 的真值表T2.9 在有原变量又有反变量的输入条件下,用与非门设计实现 下列函数的组合电路:111111ABCD00 01 11 100001111011111111ABCD00 01 11 1000011110(1)F(A,B,C,D)=(0,2,6,7,10,13,14,15)=ABD ABD BC CD(2)F(A,B,C,D)=(2,4,5,6,7,10)+(0,3,8,15)=AB BDT2.10 设输入既有原变量又有反变量,

    13、用与非门设计实现下列 函数的多输出电路。111111111ABCD00 01 11 10000111101111111111ABCD00 01 11 1000011110(2)F(A,B,C,D)=(2,5,8,9,10,11,12,13,14,15)=A+BCD+BCD(1)F(A,B,C,D)=(2,4,5,6,7,10,13,14,15)=AB+BC+BCD+BCDT2.11 设输入既有原变量又有反变量,用或非门设计实现下列 函数的组合电路:(1)F(A,B,C,D)=(0,1,2,4,6,10,14,15)解F=AC+ABD+BCDF=AC ABD BCD=(A+C)(A+B+C)(B

    14、+C+D)两次求反后得:F=(A+C)+(A+B+C)+(B+C+D)(2)F(A,B,C,D)=A+B+B+C AB解F=A+B+B+C+A+B两次求反后得:T2.12 设输入只有原变量而无反变量,试用最少的三级与非门 实现下列函数:(1)F(A,B,C,D)=AB+AC+AB解F=AB AC AB(2)F(A,B,C,D)=(1,2,5,6,8,9,10)解 F=ABC BCD ACD BCD或 F=ABC BCD ACD ABD1111111ABCD00 01 11 10000111101111111ABCD00 01 11 1000011110T2.13 设输入只有原变量没有反变量,试

    15、用或非门实现下列 函数组合电路:000000ABCD00 01 11 10000111101111111111ABCD00 01 11 1000011110(1)F(A,B,C,D)=(A+B+C)(A+B)(A+B+C)(B+C)解 先由 F F,在由 F F,得:F=A+B+C(2)F(A,B,C,D)=(0,1,5,7,10,11,12,13,14,15)解1 F=A B C+AB+BD+AC =A+B+C+A+B+B+D+A+C解2 先求反函数:F=A B C+ABD+ABC 再对其反函数三次求反得:F=A+B+C+A+B+D+A+B+CT2.14 已知输入信号A,B,C,D的波形如下

    16、图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量)。A B C DF0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10101110011111000111111111ABCD00 01 11 1000011110F=AB+BD+BC D+ABC(或ACD)T2.15 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯 亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯

    17、全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。解设:三台设备分别为 A、B、C:“1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下:A B C Y1 Y2 Y30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 11 0 01 0 00 1 01 0 00 1 00 1 01 1 0Y1=A+B+CY2=BC+A(B+C)Y3=A B C=A+B+CT2.16 用八选一数据选择器实现下列函数:(1)F(A,B,C,D)=(0,4,5,8,12,13,14)(2)

    18、F(A,B,C,D)=(0,3,5,8,11,14)+(1,6,12,13)解:选BCD 为地址,则D0=D4=D5=1,D6=A,D1=D2=D3=D7=0如图(1)所示。解:选BCD 为地址,则D0=D3=D5=D6=1,D1=D2=D4=D7=0如图(2)所示。D0D1D2D3D4D5D6D7STA2A1A0YAF1B C D图(1)D0D1D2D3D4D5D6D7STA2A1A0YF1B C D图(2)T2.17 用两片双四选一数据选择器和与非门实现循环码至 8421BCD码转换。解:(1)画函数卡诺图;(2)写逻辑函数表达式:(3)画逻辑图:011001010100001100100

    19、0010111100010010000ABCD00 01 11 1000011110W=BC DX=AB D+BCDY=A BC+AB DZ=AB(CD+CD)+C D(AB+AB)+ABCDW=BC DX=AB D+BCDY=A BC+AB DZ=AB(CD+CD)+C D(AB+AB)+ABCD AENA1 A0Y10123WB DENY20123XSTCCMUXCENA1 A0Y10123YA BENY20123ZSTDMUXCDDDCCT2.18 用一片74LS148和与非门实现8421BCD优先编码器。Y001234567ENY08:3优先编码器Y1Y2STI0I1I2I3I4I5I

    20、6I7I8I9Y1Y2Y3T2.19 用三片74LS138组成一个5:24线译码器。012BIN/OCTA2A1A0Y01&ENY7Y0Y7A3A4A2A1A0012BIN/OCTY0&ENY7Y8Y15012BIN/OCTA2A1A0Y0&ENY7Y16Y23 0 1 .1 1 0 .1.1 1.00 0 0 0 00 0 0 0 1.0 1 1 1 11 0 0 0 0.1 1 1 1 1Y0 Y1 .Y31A4 A3 A2 A1 A0T2.19 用四片74LS139组成一个5:24线译码器。Y8 Y11Y12 Y15ENA0 A1ENA0 A1Y0Y1Y2Y3Y0Y1Y2Y3ENA0 A

    21、1ENA0 A1Y0Y1Y2Y3Y0Y1Y2Y3Y16 Y19Y20 Y23A0 A1A0 A1 A4 A2 A3ENA0 A1ENA0 A1Y0Y1Y2Y3Y0Y1Y2Y3ENA0 A1ENA0 A1Y0Y1Y2Y3Y0Y1Y2Y3A0 A1A0 A1A0 A1A0 A1Y0 Y3Y4 Y72.20 用一片4:16线译码器将8421BCD码转换成余三码,写出表达式。十进制数8421码余三码012345678900000001001000110100010101100111100010010011010001010110011110001001101010111100W(A,B,C,D)=(5

    22、,6,7,8,9)X(A,B,C,D)=(1,2,3,4,9)Y(A,B,C,D)=(0,3,4,7,8)Z(A,B,C,D)=(0,2,4,6,8)A0A1A2A3Y0Y1DCBAY15Y0Y15G1G2AG2BY1.4:16线译码器WY5Y6Y7Y8Y9XY1Y2Y3Y4Y9YY0Y3Y4Y7Y8ZY0Y2Y4Y6Y8W(A,B,C,D)=(5,6,7,8,9)=Y5+Y6+Y7+Y8+Y9=Y5 Y6 Y7 Y8 Y9X(A,B,C,D)=(1,2,3,4,9)=Y1+Y2+Y3+Y4+Y9=Y1 Y2 Y3 Y4 Y9Y(A,B,C,D)=(0,3,4,7,8)=Y0+Y3+Y4+Y7

    23、+Y8=Y0 Y3 Y4 Y7 Y8Z(A,B,C,D)=(0,2,4,6,8)=Y0+Y2+Y4+Y6+Y8=Y0 Y2 Y4 Y6 Y8T2.21 使用一个4位二进制加法器设计下列十进制代码转换器:(1)8421BCD码转换为余三码;(2)余三码转换为8421BCD码。74LS283A0A1A2A3S0S1S2S30123CO0123COCIB0B1B2B3CIS0S1S2S374LS283A0A1A2A3S0S1S2S30123CO0123COCIB0B1B2B3CIS0S1S2S38421BCD码1100余三码174LS283A0A1A2A3S0S1S2S30123CO0123COCI

    24、B0B1B2B3CIS0S1S2S38421BCD码0011余三码T2.22 用74LS283加法器和逻辑门设计实现一位8421 BCD码 加法器电路,输入输出均为BCD码。74LS283A0A1A2A3S0S1S2S30123CO0123CIB0B1B2B3CICOS0S1S2S3组 合 电 路C3Y0Y1Y2Y374LS283加法器的输出8421BCD码的输出Y3 Y2 Y1 Y0C3S3 S2 S1 S0CO0 0 0 0.1 0 0 11 0 1 0.1 1 1 10 0 0 00 0 0 10 0 1 00 0 1 10.00.011110 0 0 0.1 0 0 10 0 0 0.

    25、0 1 0 10 1 1 00 1 1 11 0 0 01 0 0 10.01.111110000/10100/1011000100001/10101/10111001110010011/10101000110000010/101000000Y3Y2Y1Y000 01 11 10000111101000/11001/10111/10110/1Y3Y2Y1Y000 01 11 1000011110输出排列:S3S2S1S0/CO化简时应注意:当C3=1时,除m0、m1、m2、m3外,其余各项均按无关项处理;当C3=0时,则不存在无关项。当C3=0 时:当C3=1 时:0000/10100/101

    26、1000100001/10101/10111001110010011/10101000110000010/101000000Y3Y2Y1Y000 01 11 10000111101000/11001/10111/10110/1Y3Y2Y1Y000 01 11 1000011110当C3=0 时:当C3=1 时:S3=Y1C3+Y3Y2Y1C3S2=Y1C3+Y3Y2C3+Y2Y1C3S1=Y1C3+Y3Y2Y1C3+Y3Y1C3S0=Y0C3+Y0C3=Y0CO=C3+Y3Y2C3+Y3Y1C3S3=Y1C3+Y3Y2Y1S2=Y1C3+Y3Y2+Y2Y1S1=Y1C3+Y3Y2Y1+Y3Y1

    27、S0=Y0C3+Y0C3=Y0CO=C3+Y3Y2+Y3Y1经进一步化简为:B3 B2 B1 B0G3 G2 G1 G00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 01111100101010011

    28、111010000100001011011011011100011100101001100000B3B2B1B000 01 11 1000011110G3=B3G2=B2 +B3G1=B1 +B2G0=B0 +B1B0B1B2B3G0G1G2G3ENT2.23 设计二进制码/格雷码转换器。输入为二进制码B3B2B1B0,输出为格雷码,EN为使能端,EN=0时执行二进制码格 雷码转换;EN=1时输出为高阻。T2.24 设计一个4bit二进制乘法器。输入为两个4bit二进制数A=A3A2A1A0和 B=B3B2B1B0,输出为 8bit 乘积 P=P7P6P5P4P3P2P1P0。A0A1A2A3

    29、BiWi0Wi1Wi2Wi3位积模块解 乘法器的算法:1 0 1 1 .被乘数A 1 1 0 1 .乘数B1 0 1 1 .位积A*B0+0 0 0 0 .位积A*B1 0 1 0 1 .部分积之和+1 0 1 1 .位积A*B2 1 1 0 1 .部分积之和+1 0 1 1 .位积A*B3 1 0 0 0 1 1 1 1 .P7P6P5P4P3P2P1P0A3A2A1A0B3B2B1B0P7 P6 P5 P4 P3 P2 P1 P0M3M2M1M0COCI3COCI2COCI1T2.25 设计一个以10为模的补码产生器。N为09中的一个数符,C为N的补码,N和C均为8421BCD码,EN为使

    30、能端。0100100000110111000101011001001001100000N3N2N1N000 01 11 1000011110N3 N2 N1 N0C3 C2 C1 C00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 10 0 0 01 0 0 11 0 0 00 1 1 10 1 1 00 1 0 10 1 0 00 0 1 10 0 1 00 0 0 1C3=N3N2N1N0+N2N1N0C2=N3N1+N2N1N0+N3N1N0C1=N1N0+N2N1N0+N3N1N0C0=N1T2.

    31、26 设计一个血型配比指示器。输血时供血者和受血者的血型 配对情况如图所示。要求供血者血型和受血者血型符合要 求时绿灯亮;反之,红灯亮。F1=(0,2,5,6,10,12,13,14,15)F2=F1X Y M NF1(绿)F2(红)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 11 00 11 00 10 11 01 00 10 10 11 00 11 01 01 01 0供血者 受血者O型 O型A型 A型B型 B型A

    32、B型 AB型解 用XY表示供血者代码,MN表示受血者代码。代码设定如下:XY=00 A型 MN=00 A型 01 B型 01 B型 10 AB型 10 AB型 11 O 型 11 O 型T2.27 设计一个5人表决电路。同意者过半则表决通过,绿灯亮;否则,表决不通过则红灯亮。F=(7,11,13,14,15,19,21,22,23,25,26,27,28,29,30,31)FY7Y31解:采用门电路与4个3-8线译码器配合实现之。(习 题 三)第三章 时序逻辑T3.1 写出触发器的次态方程,并根据已给波形画出输出 Q 的波形 (设初始状态为 1 )。abcQQQn+1=(b+c)+aQna+b

    33、 c=1T3.2 说明由RS触发器组成的防抖动电路的工作原理,画出对应 输入波形的输出波形。SQRQT3.3 已知JK信号如图,请分别画出主从JK触发器和负边沿JK触发器 的输出波形(设触发器的初态为0)。T3.4 维持-阻塞D触发器输入波形如图,试画出触发器各个与非门 所对应的输出波形。QQDCPDRS2134RDSDD1D265T3.5 写出下图所示个触发器次态方程,指出CP脉冲到来时,触发器置“1”的条件。CPSRQABCBCAY1CPDQY2A BCPJKQY3C D B AQn+1=S+RQnRS=0 (约束条件)Qn+1=DQn+1=JQn+RQnS=A+C+BC+AB=0D=A+

    34、B=1J=A+B+C+D=1T3.6 写出各触发器的次态方程,并按所给的CP信号,画出各触发器 的输出波形(设初态为0)。DCPQDQCPJKQCPJKQCPQn+1=Qn=0Qn+1=QnT3.7 下图是一种两拍工作寄存器的逻辑图,即每次在输入数据之前必须先置“清0”信号,然后接收控制信号有效,此时将数据存入寄存器。(1)若不按两拍方式工作,即取消“清0”信号,则当D2D1D0=100001010时,输出Q2Q1Q0将如何变化?(2)为使电路正常工作,“清0”信号与“接收控制”信号应如何配合?画出这两种信号的正确时间关系。(3)若采用单拍方式工作,提出寄存器的改进方案。D2SRQ2D1SRQ

    35、1D0SRQ0清“0”接收控制解(1)设触发器初始状态为0。当D2D1D0=100001010时,输出Q2Q1Q0将为100101111。D2SRQ2D1SRQ1D0SRQ0清“0”接收控制(3)若采用RS触发器。有以下两种方案:若采用D触发器作为寄存器,只要将数据接触发器的 D输入端,接收控制信号接时钟端,此时无需事先清0。接收控制D2SRQ2D1SRQ1D0SRQ0接收控制D2SRQ2D1SRQ1D0SRQ0D2DQQ2DQQ1DQQ0接收控制D1D0T3.8 现有一片74LS299 8位通用一位寄存器,一片8位74LS373 锁存器,另有一个D触发器和一个与非门,请设计实现8位 数据的串

    36、行并行转换器。74LS373&74LS299M03CRXSRG1G2S0S1SLCRA/QAB/QBD/QDC/QCE/QEF/QFG/QGH/QHQAQHD7 D6D5D4D3D2D1D0QAQBQDQCQEQFQGQHEN1 C22D QCPCGOET3.9 分析下图所示同步计数电路,作出状态转移表和状态图,并画出在时钟作用下各触发器输出的波形。解 先写出激励方程,然后求得状态方程:CPJKQ1JKQ2Q3JKQ1n+1=Q2n Q1n+Q3n Q1nQ2n+1=Q1nQ3n+1=Q2nQ1n Q2n Q3nQ1n+1 Q2n+1 Q3n+10 0 00 0 10 1 00 1 11 0

    37、01 0 11 1 01 1 11 0 01 0 00 0 10 0 11 1 00 1 01 1 10 1 1100001111010110101011000T3.10 下图所示为序列信号发生器逻辑图,试作出状态转移表和 状态图,确定其输出序列。解 先写出激励方程,然后求得状态方程:Q1n Q2n Q3nQ1n+1 Q2n+1 Q3n+10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 0 00 0 00 0 10 0 11 1 00 1 00 1 10 1 1Q1DQ2DDCP231Q2Q3D1=Q1n+1=Q2n Q3nD2=Q2n+1=Q1nD3=Q3

    38、n+1=Q2n000001110010100111011101T3.11 用D触发器构成按循环码(000001011111101100000)规律工作的六进制同步计数器。解 先列出状态方程,然后求得激励方程:Q1DQ2DQ3DCP231Q1n+1=Q2n+Q1n Q3n=D1Q2n+1=Q1n Q3n=D2Q3n+1=Q1n+Q2n=D3T3.12 用D触发器设计3位二进制加法计数器,并画出波形图。Q1n Q2n Q3nQ1n+1 Q2n+1 Q3n+10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 10 1 00 1 11 0 01 0 11 1 01

    39、 1 10 0 0D2=Q2Q0+(Q2+Q1)Q0D1=Q1+Q0D0=Q0建立激励方程:由激励方程画出逻辑图:T3.13 用下图所示的电路结构构成五路脉冲分配器,试分别用 最简与非门电路及74LS138集成译码器构成这个译码器,并画出连线图。解 先写出激励方程,然后求得状态方程:Q1n Q2n Q3nQ1n+1 Q2n+1 Q3n+10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 01 1 01 0 01 0 11 1 10 1 01 1 10 1 1Q1n+1=Q1n+Q3n Q1n=Q1n+Q3n Q2n+1=Q2n+Q1n Q2n=Q2n+Q1

    40、nQ3n+1=Q1nQ3n+Q2nQ3nCPJKQJKQJKQ123CRRDSDQ1Q2Q3RDSDRDSDY0 Y1 Y2 Y3 Y4译 码 器若用与非门实现,译码器输出端 的逻辑函数为:若用译码器74LS138实现,译码器输出端 的逻辑函数为:Q1n Q2n Q3nQ1n+1 Q2n+1 Q3n+10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 01 1 01 0 01 0 11 1 10 1 01 1 10 1 1Q1n Q2n Q3nY0 Y1 Y2 Y3 Y40 1 01 0 01 1 10 1 11 0 11 0 0 0 00 1 0 0 0

    41、0 0 1 0 00 0 0 1 00 0 0 0 10 0 01 1 00 0 1时序电路状态表译码器功能表Y0=Q1Q3 Y1=Q1Q3Y2=Q1Q2 Y3=Q1Q3Y4=Q2Q3000110001010100111011101Q1n Q2n Q3nY0 Y1 Y2 Y3 Y40 1 01 0 01 1 10 1 11 0 11 0 0 0 00 1 0 0 00 0 1 0 00 0 0 1 00 0 0 0 10 0 01 1 00 0 1译码器功能表若用译码器74LS138实现,译码器输出端 的逻辑函数为:Y0=Q1Q2Q3 Y1=Q1Q2Q3Y2=Q1Q2Q3 Y3=Q1Q2Q3Y

    42、4=Q1Q2Q374LS138G1Q1Q2Q3G2AG2BA2A1A0Y7Y0Y0Y3Y1Y4Y2T3.14 用74LS290构成模为8和9的计数器,各采用两种方案画出其接线图。(b)模9计数器74LS290R01CP1QAQBQCQDCP2CPR02S91S9274LS290R01CP1QAQBQCQDCP2CPR02S91S92(a)模8计数器74LS290R01CP1QAQBQCQDCP2CPR02S91S9274LS290R01CP1QAQBQCQDCP2CPR02S91S92T3.15 若将下图接成12进制加法器,预置值应为多少?画出状态图及 输出波形图。序号QD QC QB QA0

    43、1234567891011121314150 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10000 0011 0100 0101 0110 01111111 1110 1101 1100 1011 100074LS169QBQCQDQAD C B ACOLDENPENT0 1 1CPUD74LS169为 4位二进制计数器,同步预置(低有效)T3.16 用一片74LS163计数器和2片74LS138译码器构成一个具有12

    44、路 脉冲输出的数据分配器。画出连接图,在图上标明第1 路到第 12 路输出的位置。Y0 Y1 .Y11译 码 器74LS163COQ1Q2Q3Q0D3 D2 D1 D0LDCRENPENT111 1 1 1CP.74LS138G2AG2BA0A1A2Y7Y0Y8Y9Y10Y11G1074LS1381Q0Q1Q2A0A1A2Y7Y0Y0Y3Y1Y4Y2G1Y5G2AG2BQ3Y6Y774LS163为 4位二进制计数器,同步预置、清零(低有效)T3.17 改用一片74LS195移位寄存器来代替上题中的74LS163,完成同样的设计。0 0 0 0 1d0 d1 d2 d3 d3Q00 Q10 Q2

    45、0 Q30 Q30Q00 Q00 Q1n Q2n Q2n 0 Q0n Q1n Q2n Q2n 1 Q0n Q1n Q2n Q2n Q0n Q0n Q1n Q2n Q2n 0 1 0 d0 d1 d2 d3 1 1 0 1 1 0 1 1 1 0 0 1 1 1 1 1 1 1 0 Q0 Q1 Q2 Q3 Q3 R M1 CP J K D0 D1 D2 D374LS195功能表激励方程为:J =Q3 K=Q374LS195Q3Q1Q2Q3Q0D3 D2 D1 D0M1RJKCPT3.17 改用一片74LS195移位寄存器来代替上题中的74LS163,完成同样的设计。激励方程为:J =Q3 K=Q

    46、3 1 0 0 0 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 1 1 0 0 0 1 1 0 1 0 1 1 1 1 0 1 1 1 1 0 0 1 1 1 0 0 1 1 0 0 0 1 0 0 0 0 0 0 0 0Q0n+1Q1n+1 Q2n+1 Q3n+1012345678910111 01 01 01 00 11 00 11 01 00 10 11 00 10 10 10 10 0 0 01 0 0 00 1 0 01 0 1 00 1 0 10 0 1 01 0 0 11 1 0 00 1 1 01 0 1 11 1 0 11 1 1 00 1

    47、 1 10 0 1 10 0 0 11 1 1 1序号J KQ0n Q1n Q2n Q3n计数器状态转换表74LS138G2AG2BA0A1A2Y7Y0Y1Y6Y3Y9G1074LS1381Q0Q1Q2A0A1A2Y7Y0Y0Y2Y5G1Y4G2AG2BQ3Y8Y7Y10Y11Y0 Y1 .Y11译 码 器.74LS195Q3Q1Q2Q3Q0D3 D2 D1 D0M1RJK1CPT3.18 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明它是Mealy型电路还是Moore型电路以及电路的功能。解 电路的状态方程和输出方程为:该电路是Moore型电路。当X=0时,电路为模4加法计数器;

    48、当X=1时,电路为模4减法计数器。Q1n Q2nQ1n+1 Q2n+1/ZX=0X=10 00 11 01 110/111/101/100/011/110/100/101/0Q1n+1=Q1n Q2n+1=(X+Q1n)Q2n +(X+Q1n)Q2nZ=Q1nQ2nCPJKQ1JKQ2ZX00/111/010/1X=001/1X=1X=0X=0X=0X=1X=1X=1T3.19 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种序列进行检测?解 电路的状态方程和输出方程为:说明说明:凡在输入序列中出现两个或 两个以上“1”之后再出现一 个“0”,输出就为“1”;否则,输

    49、出为“0”。Q1n Q2nQ1n+1 Q2n+1/ZX=0X=10 00 11 01 100/001/111/011/101/010/010/000/0000111100/0X/Z0/01/01/01/01/00/10/1CPJKQ1JKQ2ZXQ1n+1=X Q1n+X Q1n=XQ2n+1=XQ1nQ2n +XQ2nZ=XQ2nT3.20 作“101”序列信号检测器的状态表,凡收到输入序列101时,输出为 1;并规定检测的101序列不重叠。解 根据题意分析,输入为二进制序列x,输出为Z;且电路应具有4个状态:S0、S1、S2、S3(其中S0为初始状态)。列状态表和状态图如下:PSNS/ZX

    50、=0X=1S0S1S2S3S0/0S2/0S0/0S0/0S1/0S1/0S3/1S1/0PSNS/ZX=0X=1S0S1S2S0/0S2/0S0/0S1/0S1/0S0/1原始状态表简化状态表T3.21 同步时序电路对串行二进制输入进行奇偶校验,每检测5位输入,输出一个结果:当5位输入中 1 的数目为奇数时,在最后一位的 时刻输出 1。作出状态图和状态表。解 该题目要求对有限长度 的串行序列进行的奇偶校验。一方面对于每接 收到一位码后都要断定一下到目前为止接收的数据中 1 的数目是奇数 还是偶数;另一方面还要记忆到目前为止已收到了几位数据。为此,电路的状态表和状态图如下:C/0E/0D/0G

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:白中英数字逻辑习题答案课件.ppt
    链接地址:https://www.163wenku.com/p-5857248.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库