书签 分享 收藏 举报 版权申诉 / 102
上传文档赚钱

类型数字电路验证方法课件.ppt

  • 上传人(卖家):晟晟文业
  • 文档编号:5138860
  • 上传时间:2023-02-14
  • 格式:PPT
  • 页数:102
  • 大小:3.26MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《数字电路验证方法课件.ppt》由用户(晟晟文业)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    数字电路 验证 方法 课件
    资源描述:

    1、数字集成电路可测性软件数字集成电路可测性软件设计及验证平台设计及验证平台2013年年4月月25日日2023-2-14共102页2主要内容主要内容n可测性基础可测性基础n可测性设计工具可测性设计工具n验证的必要性验证的必要性n验证方法学介绍验证方法学介绍n验证工具介绍验证工具介绍2023-2-14共102页3主要内容主要内容n可测性基础可测性基础n可测性设计工具可测性设计工具n验证的必要性验证的必要性n验证方法学介绍验证方法学介绍n验证工具介绍验证工具介绍可测性基础可测性基础n什么是可测性设计?什么是可测性设计?n物理瑕疵及故障模型物理瑕疵及故障模型n单一故障模型:单一故障模型:SAF Mode

    2、ln可测性设计常用方法可测性设计常用方法n检测检测SAF的算法:的算法:D算法算法n测试矢量集测试矢量集n故障覆盖率故障覆盖率2023-2-14共102页42023-2-14共102页5什么是可测性设计?什么是可测性设计?n可测性设计可测性设计,Design For Test,即即DFT。为了测试所设计为了测试所设计IC有没有被正确的制造出来有没有被正确的制造出来(测试半导体生产处理过程中的瑕疵,不是测(测试半导体生产处理过程中的瑕疵,不是测试芯片设计的对错试芯片设计的对错)。DFT=增加芯片逻辑增加芯片逻辑+生成测试矢量集(供测试生成测试矢量集(供测试设备用)设备用)2023-2-14共10

    3、2页6物理瑕疵和故障模型物理瑕疵和故障模型 1.开路和短路开路和短路 2.金属线之间的电桥金属线之间的电桥 3.漏源穿通漏源穿通CMOS反相器反相器中的物中的物理缺陷理缺陷2023-2-14共102页7物理故障物理故障 逻辑故障逻辑故障 封装引脚间的漏电或短路封装引脚间的漏电或短路 单一固定故障单一固定故障芯片焊接点到管脚连线断裂芯片焊接点到管脚连线断裂 延时故障延时故障表面玷污、含湿气表面玷污、含湿气 短路或者开路故障短路或者开路故障金属层迁移、应力、脱皮金属层迁移、应力、脱皮 金属层开路、短路金属层开路、短路 2023-2-14共102页8 固定固定1故障:故障:U0的输入端的输入端A固定

    4、接在高固定接在高 电平上,其值一直为电平上,其值一直为“1”固定固定0故障:故障:U1的输出端的输出端Y固定接在固定接在 低电平上,其值一直为低电平上,其值一直为“0”单一固定故障:单一固定故障:stuck-at fault2023-2-14共102页9DFT常用方法常用方法n功能点测试功能点测试 需在每个测试点增加可控的输入和输出,需在每个测试点增加可控的输入和输出,I/O增加增加n扫描测试扫描测试(基于(基于D算法)算法)结构化的结构化的DFT技术,全扫描和部分扫描技术,全扫描和部分扫描n内建自测试内建自测试 消除了对消除了对ATE的存储能力和频率的限制,更具发的存储能力和频率的限制,更具

    5、发展潜力展潜力2023-2-14共102页10D算法算法 D算法是算法是20世纪世纪60年代年代IBM提出测试提出测试SAF(stuck-at fault model,简称,简称SAF模型模型)的,)的,D算法在没有故障和算法在没有故障和有故障的电路之间产生了逻辑的差异(有故障的电路之间产生了逻辑的差异(Discrepancy),),D为为Discrepancy缩写,缩写,D算法即为差异算法。算法即为差异算法。n经典的经典的D算法如下:算法如下:1、瞄准特定的、瞄准特定的SAF。2、驱动故障节点为反向值。、驱动故障节点为反向值。3、把错误传送到输出端口。、把错误传送到输出端口。4、记录测试向量

    6、,减掉已测试过的故障。、记录测试向量,减掉已测试过的故障。2023-2-14共102页11D算法算法n第一步:是把某个节点作为测试目标,我们把第一步:是把某个节点作为测试目标,我们把U1的输出的输出端作为测试的目标,探测它有无端作为测试的目标,探测它有无SA0的故障。的故障。2023-2-14共102页12D算法算法n第二步:是通过驱动该节点为相反的值以激活第二步:是通过驱动该节点为相反的值以激活(activate)目标的故障。)目标的故障。输入端口输入逻辑输入端口输入逻辑“0”,如,如U1输出没有输出没有SA0的故的故障,其逻辑障,其逻辑“1”;如;如U1输出有输出有SA0的故障,其逻的故障

    7、,其逻辑为辑为“0”。可以通过测试其逻辑值来判断值该节点是否有可以通过测试其逻辑值来判断值该节点是否有SA0的故障。的故障。2023-2-14共102页13D算法算法n第三步:是把故障效应传送到输出端口,可以在输出端口第三步:是把故障效应传送到输出端口,可以在输出端口观测到其逻辑值,有故障节点的逻辑值通过组合电路后可观测到其逻辑值,有故障节点的逻辑值通过组合电路后可能会反向,但是差异还保留着。能会反向,但是差异还保留着。2023-2-14共102页14D算法算法n第四步:记录向量。成功的测试向量被记录在内第四步:记录向量。成功的测试向量被记录在内存里,已测试的故障从目标故障的清单里减掉。存里,

    8、已测试的故障从目标故障的清单里减掉。2023-2-14共102页15可测试的触发器有两种模式:可测试的触发器有两种模式:正常模式正常模式在这种模式下,所设计芯片以设计的原来在这种模式下,所设计芯片以设计的原来功能工作;功能工作;测试模式测试模式在这种模式下,所设计芯片进行生产测试。在这种模式下,所设计芯片进行生产测试。扫描测试扫描测试2023-2-14共102页16扫描测试扫描测试标准标准D触发器触发器与标准与标准D触发器等触发器等效的扫描触发器效的扫描触发器2023-2-14共102页17 使用扫描触发器,会增加设计的面积,增加了路径使用扫描触发器,会增加设计的面积,增加了路径的延迟,增大了

    9、触发器的输出负载和电路的功耗。的延迟,增大了触发器的输出负载和电路的功耗。SMIC0.18m工艺库工艺库AREA(m2)FFDQRHDLX63.2FFSDQRHDLX79.83增加百分比增加百分比26.3%扫描测试扫描测试2023-2-14共102页18扫描测试流程扫描测试流程2023-2-14共102页19测试矢量集(测试矢量集(Test Pattern)由一个或多个测试序列组成的测试矢量,测试矢量包含输由一个或多个测试序列组成的测试矢量,测试矢量包含输入激励和预期的输出响应,以测试一个目标的故障。入激励和预期的输出响应,以测试一个目标的故障。2023-2-14共102页20n以二输入与非门

    10、为例,假设输以二输入与非门为例,假设输入为入为A,B,输出为,输出为Y,Y=(A*B);ABYA/1A/0B/1B/0Y/0Y/1001111101011011101101110101110010101n测试矢量为:测试矢量为:00 1,01 1,10 1,11 0 输入激励输入激励 输出响应输出响应2023-2-14共102页21故障覆盖率故障覆盖率 可以测试到的故障占总故障的比例。可以测试到的故障占总故障的比例。如果电路的每个节点既可以控制如果电路的每个节点既可以控制(controllable),又可观测又可观测(observable),那么电路的测试覆盖率就,那么电路的测试覆盖率就高。高

    11、。可控,可测可控,可测 可控,不可测可控,不可测不可控点:冗余电路,门控时钟不可控点:冗余电路,门控时钟2023-2-14共102页22业界产品测试方法业界产品测试方法ATE:Automatic Test Equipment2023-2-14共102页232023-2-14共102页24主要内容主要内容n可测性基础可测性基础n可测性设计工具可测性设计工具n验证的必要性验证的必要性n验证方法学介绍验证方法学介绍n验证工具介绍验证工具介绍2023-2-14共102页25DFT CompilernSynopsys公司的集成于公司的集成于Design Compiler的的先进测试综合工具先进测试综合工

    12、具n独创的独创的“一遍测试综合一遍测试综合”技术技术n功能强大的扫描式可测性设计分析、综合和验功能强大的扫描式可测性设计分析、综合和验证技术证技术n支持支持RTL级、门级的扫描测试设计规则检查,级、门级的扫描测试设计规则检查,以及给予约束的扫描链插入和优化以及给予约束的扫描链插入和优化n启动命令启动命令source/opt/demo/synopsys.env design_vision&2023-2-14共102页26设计流程设计流程2023-2-14共102页271.Scan-Ready SynthesisDFT Compiler2023-2-14共102页282.Set ATE Confi

    13、guration2023-2-14共102页29n即测试时钟周期为即测试时钟周期为100ns,输入端口的数据输入到达时间,输入端口的数据输入到达时间为为5ns,双向端口的数据输入到达时间为,双向端口的数据输入到达时间为55ns,输出端口,输出端口的数据程序采样(的数据程序采样(strobe)时间为)时间为40ns。n 测试时间参数的设置一般放在测试时间参数的设置一般放在.synopsys_dc.setup文件文件中,也可以包含在中,也可以包含在DC综合脚本文件里。综合脚本文件里。n测试时钟定义了驱动所有扫描触发器的时钟,测试时钟一测试时钟定义了驱动所有扫描触发器的时钟,测试时钟一般与电路的工作

    14、时钟不同,它是由般与电路的工作时钟不同,它是由ATE提供的,只在测试提供的,只在测试时使用。时使用。DFTC进行设计时,假设进行设计时,假设ATE对芯片做测试的所对芯片做测试的所有时钟周期是相同的,等于有时钟周期是相同的,等于test_default _period。2.Set ATE Configuration2023-2-14共102页303.Pre-Scan Checkn执行执行create_test_protocol命令命令,生成测试协议生成测试协议n执行执行dft_drc命令,检查设计中有无测试设计规则的违规。命令,检查设计中有无测试设计规则的违规。n典型的设计综合规则有:典型的设计

    15、综合规则有:capacitance,transition,and fanoutn典型的测试设计规则主要检查典型的测试设计规则主要检查 1.设计中是否有测试违规使得无法插入扫描链设计中是否有测试违规使得无法插入扫描链 2.设计中是否有测试违规使得无法捕获数据设计中是否有测试违规使得无法捕获数据 3.设计中是否有测试违规使得测试覆盖率降低设计中是否有测试违规使得测试覆盖率降低2023-2-14共102页314.Scan Specificationn 在在DFTC中,可以用中,可以用set_scan_configuration命命 令进行扫描路径的管理令进行扫描路径的管理set_scan_confi

    16、guration-chain_count 6set_scan_configuration-clock_mixing mix_clocksset_scan_configuration-internal_clocks trueset_scan_configuration-add_lockup false2023-2-14共102页324.Scan Specificationn用下面的命令定义设计中其中一条扫描链:用下面的命令定义设计中其中一条扫描链:set_dft_signal-view spec -type ScanDataIn-port SI1set_dft_signal-view spec

    17、-type ScanDataOut-port SO1set_dft_signal-view spec -type ScanEnable-port SE active_satate1set_san_path-view spec C1 -scan_data_in SI1 scan_data_out S012023-2-14共102页335.Scan Preview执行执行preview_dft:1.检查扫描路径的一致性检查扫描路径的一致性2.确定扫描链的数目确定扫描链的数目3.分派扫描单元和为扫描单元排次序分派扫描单元和为扫描单元排次序4.加入连接的硬件加入连接的硬件2023-2-14共102页3

    18、46.Scan Chain Synthesis执行执行insert_dft,读取已预览的扫描结构读取已预览的扫描结构进行所需要的扫描代替进行所需要的扫描代替插入测试点插入测试点保证没有竞争保证没有竞争连接扫描路径连接扫描路径把违规减少到最少把违规减少到最少2023-2-14共102页35Setting the Effort Level2023-2-14共102页367.Post-Scan Check2023-2-14共102页372023-2-14共102页388.Estimate Test coverage2023-2-14共102页39File Outputwrite-format ver

    19、ilog hierarchy output ./netlist/top_pad.svwrite_sdc./sdc/top_pad.sdcwrite_test_protocol-format stil-output./spf/top_pad.spf.sv文件和文件和.sdc文件供布局布线工具文件供布局布线工具Astro生成芯片版图生成芯片版图.spf文件供测试矢量生成工具文件供测试矢量生成工具Tetramax生成与测试矢量集,待芯片流片生成与测试矢量集,待芯片流片封装好后,测试矢量集供封装好后,测试矢量集供ATE设备来测试芯片。设备来测试芯片。2023-2-14共102页40主要内容主要内容n可

    20、测性基础可测性基础n可测性设计工具可测性设计工具n验证的必要性验证的必要性n验证方法学介绍验证方法学介绍n验证工具介绍验证工具介绍2023-2-14共102页41验证的必要性验证的必要性n验证的概念,验证与测试的区别。n经验表明,验证已经占到整个产品开发周期的70%以上,它已经成为复杂SOC(System on-Chip)开发中的重要壁垒。2023-2-14共102页42典型流程典型流程 时序 不满足动态仿真正确Verification is not just very hard,it is very,very hard没有一个简单的工具可以解决你所有的验证没有一个简单的工具可以解决你所有的验

    21、证问题。问题。(VSIA,Virtual Socket Interface Alliance)2023-2-14共102页43主要内容主要内容n可测性基础可测性基础n可测性设计工具可测性设计工具n验证的必要性验证的必要性n验证方法学介绍验证方法学介绍n验证工具介绍验证工具介绍2023-2-14共102页44验证方法学验证方法学n方法学:又称方法论,是一门学问采用的方法、规方法学:又称方法论,是一门学问采用的方法、规则与公理;一种特定的做法或一套做法。则与公理;一种特定的做法或一套做法。n验证方法学:指完成验证过程中的一系列方法、技验证方法学:指完成验证过程中的一系列方法、技术和规范。术和规范。

    22、q 仿真技术仿真技术 q 静态技术静态技术q 物理验证物理验证2023-2-14共102页45仿真技术仿真技术n基于事件的仿真基于事件的仿真-任何一个输入的变化都被任何一个输入的变化都被标记为事件,即常说的功能仿真,精度高,标记为事件,即常说的功能仿真,精度高,速度慢。比如速度慢。比如Modelsim,VCS。n基于周期的仿真基于周期的仿真-单周期内只检查一次输入单周期内只检查一次输入并计算设计的输出逻辑值。速度快,无时并计算设计的输出逻辑值。速度快,无时序、毛刺。比如序、毛刺。比如Cyclone。n事务级仿真事务级仿真-一堆事件的集合即为事务,即一堆事件的集合即为事务,即常说的验证平台。常说

    23、的验证平台。n软硬件协同验证软硬件协同验证-需要专门的软硬件,成本需要专门的软硬件,成本高高。传统仿真系统传统仿真系统2023-2-14共102页46nDUT:Design Under Testn适用于基于事适用于基于事件的仿真件的仿真和和基基于周期的仿真。于周期的仿真。n适用于简单的适用于简单的设计。设计。缺点:缺点:1.可扩展性差2.可重用性差层次化的验证系统层次化的验证系统2023-2-14共102页47适用于事务级仿真适用于事务级仿真优点:优点:1.可扩展性好2.可重用性好为什么要用事务级仿真?2023-2-14共102页48基于事件的仿真基于事件的仿真事务级仿真事务级仿真Testbe

    24、nch代码可读性,可代码可读性,可维护性维护性差差强强仿真速度仿真速度慢慢快快Testbench结构结构DUT复杂时,结构混乱复杂时,结构混乱DUT复杂时,结构清晰复杂时,结构清晰Testbench代码量代码量DUT简单时,代码量尚可简单时,代码量尚可DUT复杂时,代码量巨大复杂时,代码量巨大DUT简单时,代码量略多简单时,代码量略多DUT复杂时。代码量较少复杂时。代码量较少与待测设计联系程度与待测设计联系程度非常紧密非常紧密行为级与行为级与DUT联系紧密联系紧密事务级具有自身独立性事务级具有自身独立性可复用性可复用性无无强强抽象层次抽象层次无无有有参考模型参考模型无无有有基于事件的仿真与事务

    25、级仿真的比较基于事件的仿真与事务级仿真的比较事务级仿真事务级仿真nRVM:Reference Methodology Methodology,Synopsys公司。nVMM:Verification Methodology Manual,ARM公司和Synopsys公司。nAVM:Advanced Verification Methodology,Mentor公司。nOVM:Open Verification Methodology,Cadence公司和Mentor公司nUVM:Universal Verification Methodology,Cadence公司2023-2-14共102页

    26、49为什么选用OVM?验证方法学验证方法学侧重点侧重点EDA验证工具验证工具支持的验证语言支持的验证语言基类库是否基类库是否开源开源RVM层次化验证层次化验证VCSOpenVera否否VMMRTL级模块级模块VCSSystemVerilog是是AVM层次化验证层次化验证QuestasimSystemCSystemVerilog是是OVM开源和不同开源和不同仿真器之间仿真器之间的透明性的透明性支持不同验证支持不同验证工具工具支持支持SystemVerilog等多种语言等多种语言是是UVM开源和快速开源和快速入门入门QuestasimNC-verilog支持支持SystemVerilog等多种语言

    27、等多种语言是是2023-2-14共102页50各种验证方法学比较各种验证方法学比较SystemVerilog介绍介绍nSystemVerilog结合了结合了Verilog和和C+的概念的概念,具有如下新功能:,具有如下新功能:n1.面向对象编程面向对象编程(OOP)、n2.随机约束随机约束(Constraint Random)、n3.断言断言(Assertion)、n4.功能覆盖率功能覆盖率(Functional Coverage)。2023-2-14共102页51nOOP:Object-oriented programmingn类:定义实物的抽象特点,包含方法和属性。n对象:类的实例。n方法

    28、:类的行为。n继承:子类包含类的特性。2023-2-14共102页52SystemVerilog介绍介绍-面向对象编程面向对象编程nCRT:Constraint Random Test class my_transaction extends ovm_transaction;rand int data_i;constraint c_data_i data_i =0;data_i 262144;virtual function void randomize_();data_i =$random&18h3ffff;endfunction2023-2-14共102页53SystemVerilog介绍

    29、介绍-随机约束随机约束SystemVerilog介绍介绍-断言断言nAssertion 示例示例property p10;(posedge clock)(io.data_check_o=data_out_design_for_check-2);endpropertya10:assert property(p10);2023-2-14共102页54nFunctional Coveragencovergroup:覆盖率模型:覆盖率模型nsample():采样函数:采样函数nbins:仓仓Covergroup Covkind;coverpoint tr.kind/kind 为4位数据bins zer

    30、o=0;bins hi =8:$;endgroup2023-2-14共102页55SystemVerilog介绍介绍-功能覆盖率功能覆盖率OVM介绍介绍nOVM是一种基于SystemVerilog的验证方法或者策略。OVM已经实现了一个基本的层次化验证平台,大大简化验证工程师的工作量。nOVM可以验证HDL代码或者网表文件nOVM特点:1.开放性:支持所有验证工具 2.开源:OVM库都是基于SystemVerilog实现 的,可以在网上下载。3.可靠性:两大公司共同开发维护2023-2-14共102页562023-2-14共102页57OVM结构结构novm_envnovm_sequecern

    31、ovm_agentnovm_transcationnovm_scoreboardnovm_drivernovm_monitor2023-2-14共102页58静态技术静态技术n语法检查语法检查-用户可以自由控制需要检查的规则,如代码风格,可综合检查,DFT检查。nlint工具。n静态时序分析静态时序分析-检查建立、保持时间以及其他延时信息是否满足设计时序要求。Prime Time。n形式验证形式验证不考虑时序信息,通常用于验证两个设计是否在功能上等效。Formality 工具。2023-2-14共102页59n一般来说,要分析或检验一个电路设计的时序方面的特征有两种主要手段:动态时序仿真(Dy

    32、namic Timing Simulation)和静态时序分析(Static Timing Analysis)1.动态时序仿真:利用仿真器和延迟文件,通过反标节点延迟信息来仿真。优点:可直观查看波形;缺点:速度慢,看不到关键路径。2.静态时序分析:分析每条时间路径上的延迟,来查看是否存在setup/hold违反。优点:分析速度比较快,全面;缺点:不能查看功能是否正确。静态时序分析静态时序分析2023-2-14共102页60n 所谓形式验证,就是通过比较两个设计在逻辑功能是否等同的方法来验证电路的功能。n优点:1.不依赖于测试矢量,因此能提供更完全的验证;2.可以实现RTL-to-RTL、RTL

    33、-to-gate、gate-to-gate之 间的验证;3.有定位功能,可以帮助你找出两个设计之间功能不等同的原因;4.可以使用的文件格式有VHDL、Verilog、Synopsys 的.db格式,以及EDIF网表等;5.可以实现自动的分层验证;形式验证形式验证2023-2-14共102页61物理验证物理验证-版图级版图级n电源电压降电源电压降n电迁移电迁移n功耗功耗 Astro布局布线工布局布线工n天线效应天线效应 具中完成具中完成n串扰串扰2023-2-14共102页62主要内容主要内容n验证的必要性验证的必要性n验证方法学介绍验证方法学介绍n验证工具介绍验证工具介绍n演示演示如何利用如何

    34、利用OVM完成验证?完成验证?2023-2-14共102页63基于OVM的数字滤波器验证平台n数模转化器(DAC)中的数字插值滤波器做为此验证平台的DUTn数字插值滤波器的功能:1.提高采样频率 2.滤除带外(带宽20KHz)噪声 2023-2-14共102页64输入信号输入信号输出信号输出信号传统的滤波器验证平台仿真结果n传统的验证平台:基于定向测试矢量+波形查看的方式2023-2-14共102页65传统验证平台没找到传统验证平台没找到BUG的原因的原因n1.仿真时间没有足够长 2.借助波形来判断 3.没有与理想参考模型比较 基于基于OVM的验证平台的验证平台2023-2-14共102页66

    35、OVM验证平台验证步骤验证平台验证步骤n利用OVM库完成平台代码n启动验证工具n创建编译库n编译验证平台代码n启动仿真2023-2-14共102页67利用利用OVM库完成平台代码库完成平台代码n扩展OVM类n逐层完成q 接口q 数据产生q 驱动器q 验证环境q 比较器2023-2-14共102页68OVM平台平台-接口接口ninterface io_if();n logic 17:0 data_i;n logic 17:0 data_o;n logic 17:0 data_check_o;n modport dut_if(input data_i,output data_o);/DUT接口 n

    36、 modport check_if(input data_i,output data_check_o);/比较器模块接口 nEndinterfacen io_if my_io();/装载接口 n module check(io_if.check_if io,input clock,rst,en);ndut dut(.io(my_io),.clock(clock),.rst(rst),.en(rst_check);ncheck check(.io(my_io),.clock(clock),.rst(rst),.en(rst_check);2023-2-14共102页69OVM平台平台数据产生数据

    37、产生nclass my_transaction extends ovm_transaction;nrand int data_i;n function new(string name=);n super.new(name);n endfunction:new n /产生随机事件的约束条件 nconstraint c_data_i data_i =0;data_i 262144;nvirtual function void randomize_();n data_i =$random&18h3ffff;nendfunctionnovm_object_utils_begin(my_transact

    38、ion)/在程序中 ovm_field_int(data_i,OVM_ALL_ON+OVM_DEC)n ovm_object_utils_endn endclass:my_transaction 2023-2-14共102页70OVM平台平台驱动器驱动器n class my_driver extends ovm_driver;/n ovm_component_utils(my_driver)/注册本类,这个宏的结尾没有符号;n virtual io_if v_io;/装载虚拟接口 n ovm_get_port#(my_transaction)get_port;/装载与激励发生器通信的通道接口:

    39、n function new(string name,ovm_component parent);n super.new(name,parent);n /建议验证程序中可写一些ovm_report_info的语句供提示用:n ovm_report_info(,Called my_driver:new);/在测试结果显示此函数被调用 n endfunction:new n 2023-2-14共102页71nfunction void build;n super.build();n ovm_report_info(,Called my_driver:build);n get_port=new(ge

    40、t_port,this);/初始化 n endfunction:build n virtual task run;n ovm_report_info(,Called my_driver:run);n forever n begin n my_transaction tx;n#1600 get_port.get(tx);/从通道中取一个事件 n ovm_report_info(,$psprintf(data_i=%2h,tx.data_i);n v_io.dut_if.data_i =tx.data_i;n end n endtask:run n endclass:my_driver2023-2

    41、-14共102页72OVM平台平台验证环境验证环境n class my_env extends ovm_env;/n ovm_component_utils(my_env)/注册本类 n ovm_random_stimulus#(my_transaction)env_stimulus;/装载激励器 n tlm_fifo#(my_transaction)env_fifo;/装载通道 n my_driver env_driver;/装载驱动器 n function new(string name=my_env,ovm_component parent=null);n super.new(name,

    42、parent);n ovm_report_info(,Called my_env:new);n endfunction:new n 2023-2-14共102页73nvirtual function void build;n super.build();n ovm_report_info(,Called my_env:build);n env_stimulus=new(env_stimulus,this);/初始化激励器 n env_fifo =new(env_fifo,this);/初始化通道 n env_driver =new(env.driver,this);/初始化驱动器 n endf

    43、unction:build n n virtual function void connect;/设定连接关系 n ovm_report_info(,Called my_env:connect);n env_stimulus.blocking_put_port.connect(env_fifo.put_export);/激励器侧接口-放事件 n env_driver.get_port.connect(env_fifo.get_export);/驱动器侧接口-取事件 n endfunction:connect2023-2-14共102页74nvirtual function void confi

    44、gure;/n ovm_report_info(,Called my_env:configure);n env_stimulus.set_report_id_action(stimulus generation,OVM_NO_ACTION);/限制显示信息n endfunction:configure/你可删除上一行,看看有什么变化?n n task run();n ovm_report_info(,Called my_env:run);n endtask:run n n virtual function void report;n ovm_report_info(,Called my_env

    45、:report);n endfunction:report n /在运行下面的run_test()函数时,以上函数将自动依次运行 n endclass:my_env2023-2-14共102页75nmodule check(io_if.check_if io,input clock,rst,en,input 17:0 data_out_design_for_check);nwire 17:0 hcic_out;n/参考模型nHcic_full Hcic_full(clock,en,rst,io.data_i,n hcic_out,);nassign io.data_check_o=hcic_o

    46、ut;nproperty p10;n (posedge clock)(io.data_check_o=data_out_design_for_check-2)|io.data_check_o=0;nendpropertyna10:assert property(p10);nendmodule2023-2-14共102页76OVM平台平台比较器比较器ntimescale 1ns/1ns nmodule top;n import ovm_pkg:*;n import my_pkg:*;n parameter clock_cycle=100;n bit clock;n bit rst;n bit r

    47、st_check;n io_if my_io();/装载接口 n dut dut(.io(my_io),.clock(clock),.rst(rst),.en(rst_check);/装载DUT n check check(.io(my_io),.clock(clock),.rst(rst),.en(rst_check),n .data_out_design_for_check(my_io.dut_if.data_o);n /建议在验证程序顶级模块中一般采用继承ovm_test的类包装继承 ovm_env的类 2023-2-14共102页77OVM平台平台顶层模块顶层模块n class my_

    48、test extends ovm_test;n ovm_component_utils(my_test)/注册本类 n my_env top_env;/装载环境-top_env n n function new(string name=my_test,ovm_component parent=null);n super.new(name,parent);n ovm_report_info(,Called my_test:new);n endfunction:new n virtual function void build;n super.build();n ovm_report_info(,

    49、Called my_test:build);n top_env=new();/初始化 n /建议在验证程序中可设定看门狗 n set_global_timeout(1000000us);n endfunction:build n 2023-2-14共102页78nvirtual function void connect;n ovm_report_info(,Called my_test:connect);n top_env.env_driver.v_io=my_io;/连接虚拟接口到驱动器的物理接口 n endfunction:connect n task run;n my_transact

    50、ion tx;n tx=new();n ovm_report_info(,Called my_test:run);n top_env.env_stimulus.generate_stimulus(tx,2000000);/激励器产生20个事件 n endtask:run n endclass:my_test2023-2-14共102页79ninitial begin n run_test(“my_test”);n clock=0;n rst=0;n rst_check=0;n#(32*clock_cycle)rst=1;n end nalways#(clock_cycle/2)clock=cl

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:数字电路验证方法课件.ppt
    链接地址:https://www.163wenku.com/p-5138860.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库