书签 分享 收藏 举报 版权申诉 / 86
上传文档赚钱

类型ch4逻辑组合电路课件.ppt

  • 上传人(卖家):晟晟文业
  • 文档编号:4199663
  • 上传时间:2022-11-19
  • 格式:PPT
  • 页数:86
  • 大小:3.57MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《ch4逻辑组合电路课件.ppt》由用户(晟晟文业)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    ch4 逻辑 组合 电路 课件
    资源描述:

    1、第四章第四章 组合逻辑电路组合逻辑电路14.1概述概述一、组合逻辑电路的特点一、组合逻辑电路的特点1.从功能上从功能上 2.从电路结构上从电路结构上二、逻辑功能的描述组合逻辑组合逻辑 电路电路1a2ana1y2ymy组合逻辑电路的框图组合逻辑电路的框图)(AFY)aa(afy)aa(afy)aa(afynmmnn21212221114.2.1 组合逻辑电路的分析方法二、二、步骤步骤1 1、根据给定的逻辑电路图,写出逻辑表达式。根据给定的逻辑电路图,写出逻辑表达式。2 2、化简逻辑函数表达式。化简逻辑函数表达式。3 3、根据化简以后的逻辑表达式列出真值表。根据化简以后的逻辑表达式列出真值表。4

    2、4、分析该电路所具有的逻辑功能。分析该电路所具有的逻辑功能。5 5、对电路进行评价或改进。对电路进行评价或改进。一、一、目的目的:对一个已知的逻辑电路,找出其输出与输入之间对一个已知的逻辑电路,找出其输出与输入之间的逻辑关系,用逻辑函数描述它的工作,评定它的逻辑功能。的逻辑关系,用逻辑函数描述它的工作,评定它的逻辑功能。化简化简得出结论(逻辑功能)。得出结论(逻辑功能)。组合逻辑电路图组合逻辑电路图写出逻辑表达式写出逻辑表达式4.2 组合逻辑电路的分析方法和设计方法组合逻辑电路的分析方法和设计方法ABCY&逻辑图逻辑图逻辑表逻辑表达式达式 1 1 最简与或最简与或表达式表达式化简 2 ABY

    3、1BCY 2CAY 31Y2Y3YY 2 CABCABY从输入到输出逐级写出ACBCABYYYY 321例例1 1A B CY0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 100010111最简与或最简与或表达式表达式 3 真值表真值表CABCABY 3 4 电路的逻电路的逻辑功能辑功能 当输入当输入A、B、C中有中有2个个或或3个为个为1时,时,输出输出Y为为1,否则输出否则输出Y为为0。所以这个。所以这个电路实际上是电路实际上是一种一种3人表决人表决用的组合电路:用的组合电路:只要有只要有2票或票或3票同意,表决票同意,表决就通过。就通过。4 例例2&ABY

    4、ABAABBABY=AAB BAB=AAB+BAB=AAB+BAB=AB(A+B)=(A+B)(A+B)=0+AB+AB+0异或门异或门=AB+AB例例3M=1(高电平):高电平):Y=AM=0(低电平):低电平):Y=B本图功能:二选一电路。本图功能:二选一电路。数据选择器数据选择器B&AMY1Y=AM BM=AM+BMAMMBA B CZ0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 101101001例例4:ACZCAABBBC&456212313ZZ Z ZAZ ZCZ ZBZ ZABCABCABCABCZ6Z5Z4Z2Z3Z1 功能分析:输入有奇数个功能

    5、分析:输入有奇数个1时,时,输出为输出为1,否则输出为,否则输出为0,该电路为奇,该电路为奇偶校验器。若用异或门去实现该电路,偶校验器。若用异或门去实现该电路,只需两个异或门。只需两个异或门。一、逻辑抽象一、逻辑抽象n分析因果关系,确定输入分析因果关系,确定输入/输出变量输出变量n定义逻辑状态的含意(赋值)定义逻辑状态的含意(赋值)n列出真值表列出真值表二、写出函数式二、写出函数式三、选定器件类型三、选定器件类型四、根据所选器件:对逻辑式化简(用门)四、根据所选器件:对逻辑式化简(用门)变换(用变换(用MSI)或进行相应的描述(或进行相应的描述(PLD)五、画出逻辑电路图,或下载到五、画出逻辑

    6、电路图,或下载到PLD六、工艺设计六、工艺设计4.2.2 组合逻辑电路的设计方法组合逻辑电路的设计方法 例例1:一火灾报警系统,设有一火灾报警系统,设有烟感、温感和紫外光感烟感、温感和紫外光感三种类三种类型的火灾探测器。为了防止误报警,只有当其中有两种或两种型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾检测信号时,报警系统以上类型的探测器发出火灾检测信号时,报警系统产生报警控产生报警控制信号。制信号。设计一个产生报警控制信号的电路。设计一个产生报警控制信号的电路。解:(1)分析设计要求,设输入输出变量并逻辑赋值;输入变量:烟感A、温感B,紫外线光感C;输出变量:

    7、报警控制信号Y。逻辑赋值:用1表示肯定,用0表示否定。ABC11101010011101 AB AC BCABCY例例2:人类有四种基本血型人类有四种基本血型A、B、AB、O型,输血者和受血者型,输血者和受血者的血型必须符合一定的原则(如图),试用与非门设计一个检的血型必须符合一定的原则(如图),试用与非门设计一个检验输血者和受血者血型是否符合的电路。验输血者和受血者血型是否符合的电路。输血者血型 受血者血型 ABBBAAABOO解解:(1)逻辑抽象)逻辑抽象输血者的血型用ab表示,受血者的血型用cd表示。00A型 01B型10AB型 11O型符合规定1不符合规定0 0000010111111

    8、010输血者血型 受血者血型 ABBBAAABOO输血者的血型用ab表示,受血者的血型用cd表示。00A型 01B型10AB型 11O型符合规定1不符合规定0 ab cdZ00 0000 0100 1000 1101 0001 0101 1001 11 10 0010 0110 1010 1111 0011 0111 1011 1110100110001011110000010111111010(2)列出真值表,写逻辑函数式)列出真值表,写逻辑函数式cb1111d11111Zabcdbcdabddbadcbdcabab cdZ00 0000 0100 1000 1101 0001 0101 1

    9、001 11 10 0010 0110 1010 1111 0011 0111 1011 111010011000101111a0001111000011110Zabcdbcdabddbadcbdcabadcb1111&Z(3)逻辑函数变换成与非式)逻辑函数变换成与非式(4)画出逻辑电路图)画出逻辑电路图例例3:用与非门设计一个一位十进制数的数值范围指示器,电路的输入A、B、C、D是一位8421BCD码,要求当X5时,输出F=1,否则F=0,该电路能实现四舍五入。ABCDZ00 0000 0100 1000 1101 0001 0101 1001 11 10 0010 0110 1010 11

    10、11 0011 0111 1011 11000001111111d11ddd1ddABCD0001111000110110BCBDABCBDAZA1&Z&BDCBCBDABCBDAZ例例4:设计一个监视交通信号灯状态的逻辑电路如果信号灯如果信号灯出现故障,出现故障,Z为为1RAGZ1.抽象抽象n输入变量:红(R)、黄(A)、绿(G)n输出变量:故障信号(Z)2.写出逻辑表达式写出逻辑表达式输入变量输出RAGZ00010010010001111000101111011111RAGRAGGRAAGRGARZ3.选用小规模选用小规模SSI器件器件4.化简化简5.画出逻辑图画出逻辑图AGRGRAGAR

    11、Z4.3 若干常用组合逻辑电路若干常用组合逻辑电路4.3.1 编码器编码器n编码:将输入的每个高编码:将输入的每个高/低电平信号变成一个对应低电平信号变成一个对应的二进制代码的二进制代码n普通编码器普通编码器n优先编码器优先编码器一、普通编码器一、普通编码器n特点:特点:任何时刻只允许输入一个编码信号。n例:例:3位二进制普通编码器输 入输 出I0I1I2I3I4I5I6I7Y2Y1Y0100000000000100000000100100000010000100000110000100010000000100101000000101100000000111101234567012345670

    12、1234567012345672IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIY利用其特点进行化简,得:753107632176542IIIIYIIIIYIIIIY二、优先编码器二、优先编码器n特点:允许同时输入两个以上的编码信号,但只对其中优先权最高的一个进行编码。n例:8线-3线优先编码器n(设I7优先权最高I0优先权最低)输 入输 出I0I1I2I3I4I5I6I7Y2Y1Y0XXXXXXX1111XXXXXX10110XXXXX100101XXXX1000100XXX10000011XX100000010X1000000001100000000004567567677

    13、2IIIIIIIIIIY45672IIIIYBABAA低电平实例:74HC148选通信号选通信号)()()(SIIIIIIIIIIYSIIIIIIIIYSIIIIY6421643567054234567145672)(45672IIIIY选通信号)(SIIIIY45672附附加加输输出出信信号号012345670123456701234567)()()(SIIIIIIIISSIIIIIIIIYSIIIIIIIIYEXS为为0时,电路工作时,电路工作无无编码输入编码输入为为0时,电路工作时,电路工作有有编码输入编码输入YS:选通输出端S:选通输入端(使能端)YEX:输出扩展端SIYSSYYSEX

    14、附加的功能端有:附加的功能端有:输输 入入输输 出出1XXXXXXXX11111011111111111010XXXXXXX0000100XXXXXX01001100XXXXX011010100XXXX0111011100XXX01111100100XX011111101100X0111111110100011111111111076543210IIIIIIIIS012YYYEXSYY状态11不工作01工作,但无输入10工作,且有输入00不可能出现附加输出信号的状态及含意附加输出信号的状态及含意EXSYY控制端扩展功能举例:控制端扩展功能举例:n例:用两片8线-3线优先编码器 16线-4线优先

    15、编码器 其中,的优先权最高 15A(1)片工作时:)片工作时:(2)片不工作)片不工作YS1=1S2=1YEX1=0(1)片输入全)片输入全1不工作时:不工作时:YS1=0S2=0YEX1=1可编出可编出 1111、1110、1101、1100、1011、1010、1001、1000此时,此时,此时,此时,Z3=1Z3=0(2)片工作)片工作可编出可编出 0111、0110、0101、0100、0011、0010、0001、0000工作原理工作原理4.3.2 译码器译码器一、二进制译码器一、二进制译码器例:例:3线线8线译码器线译码器输输 入入输输 出出A2A1A0Y7Y6Y5Y4Y3Y2Y1

    16、Y00000000000100100000010010000001000110000100010000010000101001000001100100000011110000000译码:将每个输入的二进制代码译成对应的输出高、低电平译码:将每个输入的二进制代码译成对应的输出高、低电平信号。信号。常用的有:二进制译码器,二常用的有:二进制译码器,二-十进制译码器,显示译码器等十进制译码器,显示译码器等真值表70127201221012100120mAAAYmAAAYmAAAYmAAAY.用电路进行实现 用二极管与门阵列组成的3线8线译码器逻辑表达式:101集成译码器实例:74HC138低电平输出

    17、附加控制端123SSSS)(iimSY 74HC138的功能表:输输 入入输输 出出S1A2A1A00XXXX11111111X1XXX111111111000011111110100011111110110010111110111001111110111101001110111110101110111111011010111111101110111111132SS 01234567YYYYYYYYn利用附加控制端进行扩展例:用74HC138(3线8线译码器)4线16线译码器iimZ D3=1D3=0二、二二、二十进制译码器十进制译码器n将输入BCD码的10个代码译成10个高、低电平的输出信号

    18、BCD码以外的伪码,输出均无低电平信号产生n例:74HC42)(90imYii三、用译码器设计组合逻辑电路三、用译码器设计组合逻辑电路1.基本原理基本原理3位二进制译码器给出3变量的全部最小项;。n位二进制译码器给出n变量的全部最小项;任意函数将n位二进制译码输出的最小项组合起来,可获得任何形式的输入变量不大于n的组合函数imY2.举例举例例:利用74HC138设计一个多输出的组合逻辑电路,输出逻辑函数式为:ABCCBBCAZCABBAZCBABCZCABBCAACZ4321),(),(),(),(742053273165434321mABCCBBCAZmCABBAZmCBABCZmCABBC

    19、AACZ)(),()(),()(),()(),(74204532373126543174205327316543mmmmmZmmmmZmmmmZmmmmmZ四、显示译码器四、显示译码器n1.七段字符显示器七段字符显示器 如:2.BCD七段字符显示译码器(代码转换器)7448 输输 入入输输 出出数字数字A3A2A1A0YaYbYcYdYeYfYg字形字形00000111111010001011000020010110110130011111100140100011001150101101101160110101111170111111000081000111111191001111001110

    20、1010000110111101100110011211000100011131101100101114111000011111511110000000真值表 卡诺图BCD七段显示译码器7448的逻辑图)()()()()()()(0121230112023012012012012012230120121302130123AAAAAAYAAAAAAAYAAAYAAAAAAAAAYAAAAAYAAAAAAAAYAAAAAAAAYgfedcbaRBOBI7448的附加控制信号:n灯测试输入 LT当 时,Ya Yg全部置为10LTRBOBI7448的附加控制信号:n灭零输入RBI当 时 时,则灭灯0R

    21、BI00000123AAAARBOBI7448的附加控制信号:n灭灯灭灯输入输入/灭零灭零输出输出输入输入信号,称灭灯输入控制端:信号,称灭灯输入控制端:无论输入状态是什么,数码管熄灭无论输入状态是什么,数码管熄灭输出输出信号,称灭零输出端:信号,称灭零输出端:只有当输入只有当输入 ,且灭零输入信号,且灭零输入信号 时,时,才给出低电平才给出低电平 因此因此 表示译码器表示译码器将本来应该显示的零熄将本来应该显示的零熄灭了灭了 RBOBI0BI00123AAAA0RBIRBO0RBO例:利用 和 的配合,实现多位显示系统的灭零控制 n整数部分:最高位是0,而且灭掉以后,输出 作为次高位的 输入

    22、信号n小数部分:最低位是0,而且灭掉以后,输出 作为次低位的 输入信号RBIRBORBORBIRBORBI一、工作原理一、工作原理从一组数据中选择从一组数据中选择一路信号进行传输的一路信号进行传输的电路,称为电路,称为数据选择数据选择器器。控制信号控制信号输入信号输入信号输出信号输出信号 数据选择器类似数据选择器类似一个多路开关。选择一个多路开关。选择哪一路信号由相应的哪一路信号由相应的一组控制信号控制。一组控制信号控制。A0A1D3D2D1D0WA1A0Y11XX0000D10001D11010D12011D13例:例:“双四选一双四选一”,74HC153分析其中的一个分析其中的一个“四选一

    23、四选一”1S)()()()(01301201101011AADAADAADAADSY0110例:用两个例:用两个“四选一四选一”接成接成“八选一八选一”70126012501240123012201210120012DAAADAAADAAADAAADAAADAAADAAADAAAY)()()()()()()()(位地址输入端作为第利用3Su当当A2=0时,时,(1)部分电路工作,部分电路工作,可在可在D0 D3 种选择某个数据;种选择某个数据;可在可在D4 D7中选择某个数据。中选择某个数据。u当当A2=1时,时,(2)部分电路工作,部分电路工作,n“四选一四选一”只有只有2位地址输入,从四个

    24、输入中选中一个位地址输入,从四个输入中选中一个n“八选一八选一”的八个数据需要的八个数据需要3位地址代码指定其中任何一位地址代码指定其中任何一个个二、用数据选择器设计组合电路二、用数据选择器设计组合电路n1.基本原理基本原理 具有具有n位地址输入的数据选择器,可产生任位地址输入的数据选择器,可产生任何形式的输入变量不大于何形式的输入变量不大于n+1的组合函数的组合函数)()()()(0130120110101AADAADAADAADY例如:)()()()(AGAGRGARGARRAGRAGGRAAGRGARZ1)()()()(01301201101011AADAADAADAADSY4.3.4

    25、加法器加法器输输 入入输输 出出ABSCO0000011010101101ABCOBAS一、一、1位加法器位加法器1.半加器:不考虑来自低位的进位,将两个半加器:不考虑来自低位的进位,将两个1位的二进制数相加位的二进制数相加2.全加器:将两个全加器:将两个1位二进制数及来自低位的进位相加位二进制数及来自低位的进位相加输输 入入输输 出出CIABSCO0000000110010100110110010101011100111111)()(CIACIBBACOCIABCIBAABCICIBASCIBACIBACIBACIABBACIBABAABCICIBACIBACIBAS )()()()(CIB

    26、AABCIBABCIAABABCICIBABCIACIABCO)(全加和全加和向高位的进位向高位的进位=1=1=1=11 11 1&ABCISCO全加器逻辑电路全加器逻辑电路二、多位加法器二、多位加法器1.串行进位加法器串行进位加法器优点:简单优点:简单缺点:慢缺点:慢iiiiiiiiiiiiCIBABACOCIBASCOCI)()()()()(12.超前进位加法器超前进位加法器基本原理:加到第基本原理:加到第i位位的进位输入信号是的进位输入信号是两个加数第两个加数第i位以前位以前各位(各位(0j-1)的函)的函数,可在相加前由数,可在相加前由A,B两数确定。两数确定。优点:快,每优点:快,每

    27、1位的位的和和及最后的及最后的进位进位基本基本同时产生。同时产生。缺点:电路复杂。缺点:电路复杂。)()()()()()()()()()()()(:)()()()()()()()(:)()()()(:0000011112222220000011112222222222000001111120000011110111110000011011101000000000002100CIBABABABABACIBASCIBABABABABABACIBABACOCIBABABABACOCIiCIBABABABACOBABACOCIBABABACOBASCOCIiCIBABACOCIBASCIi三、用加法器

    28、设计组合电路输输 入入输输 出出DCBAY3Y2Y1Y00000001100010100001001010011011001000111010110000110100101111010100010111001110000110123 DCBAYYYYu基本原理:基本原理:若能生成函数可变换成若能生成函数可变换成输入变量输入变量与与输入变量输入变量相加相加 若能生成函数可变换成若能生成函数可变换成输入变量输入变量与与常量常量相加相加例:将例:将BCD的的8421码转换为余码转换为余3码码?D2D1D0思考:已知思考:已知X是是3位二进制数(其值小于等于位二进制数(其值小于等于5),),试实现试实

    29、现Y=3X 并用并用7段数码管进行显示段数码管进行显示?4.3.5 数值比较器数值比较器n用来比较两个二进制数的数值大小一、一、1位数值比较器位数值比较器 A,B比较有三种可能结果)()()()(),(,),(,),(BAYBABABAYBABABAABYABBABABABABA10110101或同为则则二、多位数值比较器二、多位数值比较器A2 B2 ABA0=B0 A=BA0 B0 A B0 AB 比较两个多位数A和B,需从高向低逐位比较。如两个4位二进制数A3A2A1A0和B3B2B1B0进行比较:A3 B3 A B3 AB A3=B3A2 B2 AB A2=B2A1 B1 A B1 AB

    30、 A1=B1)()()(00112233)(00112233112233223333)(01230123)()()()()()()()()()()(BABABABABAYYYBABABABAYBABABABABABABABABABAYBBBBAAAA和比较2.集成电路CC14585 实现4位二进制数的比较是来自地位的比较结果为附加端,用于扩展和)()()(,BABABAIII3.比较两个8位二进制数的大小1 以模块化的组合逻辑单元电路为主构成的组合逻辑电路称为单元级组合逻辑电路单元级组合逻辑电路。进行逻辑抽象,列出逻辑真值表。根据真值表,写出相应的逻辑函数表达式。将逻辑函数表达式变换为适当的形

    31、式,以满足组合逻辑单元电路芯片的输入、输出要求。根据变换的逻辑函数表达式画出逻辑电路连接图。(切记:组合逻辑单元电路的附加控制端的连接!(切记:组合逻辑单元电路的附加控制端的连接!)单元级组合逻辑电路的设计方法单元级组合逻辑电路的设计方法分析过程一般按下列步骤进行:逻辑图实际逻辑问题真值表逻辑表达式适当的逻辑表达式变换1.1.用译码器设计组合逻辑电路用译码器设计组合逻辑电路写出函数的标准与或表达式(最小项之和),并变换为与非-与非形式;画出用二进制译码器和与非门实现这些函数的接线图。n线2n线译码器有2n个代码组合,包含了n变量函数的全部最小项。当译码器的使能端有效时,每个输出(一般为低电平输

    32、出)对应相应的最小项,即 。因此只要将函数的输入变量加至译码器的地址输入端,并在输出端辅以少量的门电路,便可以实现逻辑函数。iiiMmY 一般步骤:2.用数据选择器设计组合逻辑电路用数据选择器设计组合逻辑电路120niiimDY因为任何组合逻辑函数总可以用最小项之和的标准形式构成。所以,利用数据选择器的输入Di来选择地址变量组成的最小项mi,可以实现任何所需的组合逻辑函数。如果一个MUX的地址变量个数为n,则对这个2n选1的MUX的输出具有标准与或表达式的形式。若组合逻辑函数的输入变量为K个,MUX的地址变量为n个,则有三种情况:Kn、Kn、Kn(Kn+1)例:试用4选1数据选择器74LS15

    33、3实现如下逻辑函数的组合逻辑电路。ABCCBACBCAY 解:逻辑函数变形为最小项之和形式ABCCBACABCBABCACBA ABCCBACBCAY 332211003210DmDmDmDmmCmmCmABCBABACBACCABCBACCBACBA )()(比较可得:当 A1A0AB 时,D0=C,D1=1,D2=C,D3=1选地址A1A0=AB C 1 C 1 A B 0 Y 74LS153 D0 D1 D2 D3 A1 A0 S Y 21 ABCBACBAY 练习:试用练习:试用4 4选选1 1数据选择器数据选择器74LS15374LS153实现如下逻辑函数的实现如下逻辑函数的组合逻辑

    34、电路。组合逻辑电路。C C 0 1 A B 0 Y 74LS153 D0 D1 D2 D3 A1 A0 S Y 21 Kn例:试用8选1数据选择器74LS151实现如下逻辑函数的组合逻辑电路。BABAY 解:逻辑函数变形为最小项之和形式3322110021mDmDmDmD mmBABAY 比较可得:A2=0,A1=A,A0=BD0=0,D1=1,D2=1,D3=0D4=D5=D6=D7=03.用加法器设计组合逻辑电路用加法器设计组合逻辑电路例:试用4位超前进位加法器74LS283构成4位减法器。故B3B2B1B0的补码可以利用非门求B3B2B1B0的反码,利用低位进位输入端CI接1实现B3B2

    35、B1B0的反码加1。1 A3 A2 A1 A0 B3 B0 B1 B2 CI CO 74LS283 Y3 Y2 Y1 Y0 1 A3 A2 A1 A0 B3 B2 B1 B0 1 1 1 Y3 Y2 Y1 Y0 设被减数为A3A2A1A0 减数为B3B2B1B0 A3A2A1A0 B3B2B1B0=A3A2A1A0+(B3B2B1B0)补=A3A2A1A0+(B3B2B1B0)反+1解:单元级组合逻辑电路的分析方法单元级组合逻辑电路的分析方法1.以译码器、数据选择器为核心的组合逻辑电路以译码器、数据选择器为核心的组合逻辑电路 写出逻辑表达式;列出真值表;分析电路的逻辑功能。2.以优先编码器、超

    36、前进位加法器、数值比较器为核心的以优先编码器、超前进位加法器、数值比较器为核心的组合逻辑电路组合逻辑电路 列出逻辑真值表;分析电路的逻辑功能。例:分析下图电路的逻辑功能。D10 D11 D13 S1 D12 S2 D20 D23 D22 D21 74LS153 A1 A0 Y1 Y2 Y2 Y1 1 A B 0 C 1 0 ABCCBACBACBACABCBACBACBAY1 ABCBABCA1ABCBACBA0BAY2 解:逻辑表达式逻辑真值表功能分析 此电路是1位加法器。A是低位的进位CI,B、C是两个加数,Y1为加法器的和S,Y2为加法器向高位的进位CO。B3 A3 B2 A2 B1 A

    37、1 B0 A0 1 0 0 1 D3 D2 D1 D0 0 I(A B)Y(A B)4585 1 A3 A2 A1 A0 B3 B2 B1 B0 Y3 Y2 Y1 Y0 Y3 Y2 Y1 Y0 CI 0 CO CO 0 74LS283 例:分析下图电路的逻辑功能。4 4位加法器位加法器4 4位数值比较器位数值比较器解:逻辑真值表分析:当D3D09时,Y(AB)0,Y3Y0等于D3D0,即为十进制数的09;当D3D09时,Y(AB)1,则加法器将D3D0 加上6,Y3Y0就等于调整后的十进制数的个位,同时CO1表示十进制数的十位。结论:此电路是将4位二进制数D3D0转化为十进制数的8421BCD

    38、码的电路。4.4 组合逻辑电路中的竞争组合逻辑电路中的竞争-冒险现象冒险现象一、什么是“竞争”两个输入“同时向相反的逻辑电平变化”,称存在“竞争竞争”二、因“竞争”而可能在输出产生尖峰脉冲的现象,称为“竞竞争争-冒险冒险”。4.4.1 竞争竞争-冒险现象及成因冒险现象及成因三、2线4线译码器中的竞争-冒险现象 当AB从1001时,在动态过程中可能出现00或11,所以Y3和Y0输出端可能产生尖峰脉冲。一个变量以原变量和反变量出现在逻辑函数F中时,则该变量是具有竞争条件的变量。如果消去其他变量(令其他变量为0或1),留下具有竞争条件的变量,若函数出现则产生负的尖峰脉冲的冒险现象,“0”型冒险;若函

    39、数出现则产生正的尖峰脉冲的冒险现象,“1”型冒险。*4.4.24.4.2检查的竞争冒险现象方法检查的竞争冒险现象方法1.1.代数识别法代数识别法AAF AAF CAABY ”型型冒冒险险存存在在“时时,当当0 AAY1CB 例:用代数识别法检查竞争冒险现象。解:A是具有竞争条件的变量。CABAACY 例:用代数识别法判断电路是否存在冒险现象。解:A和C是具有竞争条件的变量。型型冒冒险险存存在在变变量量时时,当当0A AAY1CB 变量C不存在冒险现象。如果两卡诺圈相切,而相切处又未被其它卡诺圈包围,则可能发生冒险现象。如图,图上两卡诺圈相切,当输入变量ABC由011变为111时,Y从一个卡诺圈进入另一个卡诺圈,若把圈外函数值视为0,则函数值可能按 1-0-1 变化,从而出现毛刺。2.2.卡诺图识别法卡诺图识别法ABC0100011110Y Y1111CAABY 4.4.3 消除竞争消除竞争-冒险现象的方法冒险现象的方法一、接入滤波电容一、接入滤波电容 尖峰脉冲很窄,用很小的电容就可将尖峰削弱到 VTH 以下。二、引入选通脉冲二、引入选通脉冲 取选通脉冲作用时间,在电路达到稳定之后,P的高电平期的输出信号不会出现尖峰。三、修改逻辑设计三、修改逻辑设计例:冒险改变状态时存在竞争当稳态下的条件下,在AYAAYCBCAABY11BCCAABY

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:ch4逻辑组合电路课件.ppt
    链接地址:https://www.163wenku.com/p-4199663.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库