书签 分享 收藏 举报 版权申诉 / 42
上传文档赚钱

类型电子设计自动化lzschaper课件1.ppt

  • 上传人(卖家):晟晟文业
  • 文档编号:4146726
  • 上传时间:2022-11-14
  • 格式:PPT
  • 页数:42
  • 大小:959.06KB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《电子设计自动化lzschaper课件1.ppt》由用户(晟晟文业)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    电子设计 自动化 lzschaper 课件
    资源描述:

    1、李振松2013-04信息与通信工程学院信息与通信工程学院课程简介 课程主要内容包括三个部分:课程主要内容包括三个部分:n 可编程逻辑器件基本结构可编程逻辑器件基本结构n VHDL硬件描述语言硬件描述语言n EDA设计方法、仿真工具和实验系统设计方法、仿真工具和实验系统 课程课程学习任务和目标学习任务和目标n 掌握掌握VHDL硬件描述语言;硬件描述语言;n 熟练使用熟练使用EDA工具进行可编程逻辑器件的设计;工具进行可编程逻辑器件的设计;n 掌握基于掌握基于EDA技术的数字系统设计方法。技术的数字系统设计方法。课程课程学习方法学习方法n 实际动手设计实现数字系统 课程简介课程简介NoImage第

    2、一章 EDA技术简介技术简介 EDA技术的基本概念和发展历程 EDA技术的特征、优势和实现目标 EDA技术的设计流程和设计工具 EDA技术与ASIC设计 EDA技术的发展趋势EDA技术简介技术简介EDA基本概念基本概念nEDA:Electronic Design AutomationnEDA技术就是以技术就是以大规模可编程逻辑器件大规模可编程逻辑器件为设计载体,以为设计载体,以硬硬件描述语言件描述语言HDL为系统逻辑描述的表达方式,以计算机、为系统逻辑描述的表达方式,以计算机、大规模可编程逻辑器件大规模可编程逻辑器件开发平台开发平台为设计工具,为设计工具,自动地自动地完成完成用软件方式设计的电

    3、子系统用软件方式设计的电子系统到到硬件系统硬件系统的逻辑编译、逻辑的逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合化简、逻辑分割、逻辑综合、结构综合(布局布线布局布线),以及,以及逻辑优化和逻辑仿真,直至对特定目标芯片的适配编译、逻辑优化和逻辑仿真,直至对特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成逻辑映射、编程下载等工作,最终形成集成电子系统集成电子系统或或专专用集成电路用集成电路(ASIC)芯片芯片的技术。的技术。nEDA技术融合技术融合硬件实现硬件实现方面、方面、计算机辅助工程计算机辅助工程方面和方面和现代现代电子学电子学方面多学科于一体,打破了方面多学科于一体,打破了软

    4、件软件和和硬件硬件间的界限,间的界限,使计算机软件技术与硬件实现合二为一。使计算机软件技术与硬件实现合二为一。现代电子 设计工具的 使用和开发 硬件描述语言和 IP 核的设计开发 电路与系统 的理论和 设计实现 板上系统和 片上系统设计方法研究 现代电子 设计的 理论基础 EDA基本概念基本概念nEDA技术的范畴技术的范畴EDA技术技术数字系统模块化设计数字系统模块化设计数字电路设计数字电路设计FPGA设计设计ASIC版图设计版图设计PCB设计设计器件模型库系统仿真器件模型库系统仿真模拟电路设计模拟电路设计混合电路设计混合电路设计EDA发展历程 行为设计 结构设计 Electronics De

    5、sign Optimize 逻辑设计 Electronics Design Automution 电路设计 Computer-Aided Engineering 版图设计 Computer-Aided Design 1970 1980 1990 2000 CAD EDA CAE EDO nEDA技术的发展历程技术的发展历程n计算机辅助设计计算机辅助设计(CAD)阶段:阶段:70年代年代n借助软件工具由计算机辅助完成借助软件工具由计算机辅助完成IC版图编辑和印制电路板布局布线版图编辑和印制电路板布局布线等工作等工作n设计速度低,修改困难设计速度低,修改困难n计算机辅助工程计算机辅助工程(CAE)

    6、阶段:阶段:80年代年代n通过电气连接网络表将电路功能设计和结果设计相结合以实现工程通过电气连接网络表将电路功能设计和结果设计相结合以实现工程设计设计n自动化和智能化程度不高,设计软件工具不兼容,学习使用困难自动化和智能化程度不高,设计软件工具不兼容,学习使用困难n电子设计自动化电子设计自动化(EDA)阶段:阶段:90年代至今年代至今n采用高级语言描述、系统仿真和综合技术等核心技术采用高级语言描述、系统仿真和综合技术等核心技术n采用系统化、层次化并行设计方式,核心为可编程逻辑器件的设计采用系统化、层次化并行设计方式,核心为可编程逻辑器件的设计n自动化和智能化程度大大提高自动化和智能化程度大大提

    7、高EDA发展历程发展历程第一章 EDA技术简介技术简介 EDA技术的基本概念和发展历程 EDA技术的特征、优势和实现目标 EDA技术的设计流程和设计工具 EDA技术与ASIC设计 EDA技术的发展趋势EDA技术简介技术简介Top-down行为设计行为设计结构设计结构设计逻辑设计逻辑设计电路设计电路设计版图设计版图设计Bottom-up系统分解系统分解单元设计单元设计功能块划分功能块划分子系统设计子系统设计系统总成系统总成EDA技术的特征技术的特征nEDA技术的特征技术的特征n1、采用自顶向下的设计流程、采用自顶向下的设计流程自顶向下设计流程自顶向下设计流程自底向上设计流程自底向上设计流程n自顶

    8、向下的设计流程自顶向下的设计流程n利用功能分割将设计由上至下进行层次化和模块化利用功能分割将设计由上至下进行层次化和模块化n从设计要求出发描述系统行为从设计要求出发描述系统行为n模块化设计,将系统分解为各个模块的集合模块化设计,将系统分解为各个模块的集合n每个模块可进行独立的设计,便于分工合作每个模块可进行独立的设计,便于分工合作n整个设计过程基本由计算机自动完成整个设计过程基本由计算机自动完成EDA技术的特征技术的特征n2、设计语言采用标准化的硬件描述语言、设计语言采用标准化的硬件描述语言n硬件描述语言硬件描述语言(HDL)n是一种用于设计硬件电子系统的计算机语言。是一种用于设计硬件电子系统

    9、的计算机语言。n对硬件电路的行为和功能结构进行高度抽象化对硬件电路的行为和功能结构进行高度抽象化的描述。的描述。n用软件编程的方式来描述电子系统的逻辑功能、用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式。电路结构和连接形式。n采用硬件描述语言进行电子系统设计可以提高采用硬件描述语言进行电子系统设计可以提高效率,增加开发成果的可继承性以及缩短开发效率,增加开发成果的可继承性以及缩短开发时间实现电路设计的自动化。时间实现电路设计的自动化。EDA技术的特征技术的特征nVHDL:Very High Speed Integrated Circuit Hardware Description

    10、 Languagen诞生于诞生于1983年,年,1987年成为硬件描述语言的业界标准之一。年成为硬件描述语言的业界标准之一。n主要用于描述数字系统的结构、行为、功能和接口。主要用于描述数字系统的结构、行为、功能和接口。n十分类似于一般的计算机高级语言,具有多方面优点。十分类似于一般的计算机高级语言,具有多方面优点。nVerilog HDL n在在C语言的基础上发展而来,目前应用最广泛的硬件设计语语言的基础上发展而来,目前应用最广泛的硬件设计语言之一。言之一。n具有简洁、高效、易用的特点。适合各个层次的设计和描述。具有简洁、高效、易用的特点。适合各个层次的设计和描述。n两种语言各有特点两种语言各

    11、有特点EDA技术的特征技术的特征n3、自动化的设计过程、自动化的设计过程n采用了功能强大的软件设计工具采用了功能强大的软件设计工具n各类资源库各类资源库(Library)的完善是自动化设计的保障的完善是自动化设计的保障n4、具有逻辑综合和优化的功能、具有逻辑综合和优化的功能n逻辑综合将高层次抽象描述转化为底层电路表示逻辑综合将高层次抽象描述转化为底层电路表示n优化功能将电路设计的时延缩短到最小并有效利用优化功能将电路设计的时延缩短到最小并有效利用资源资源EDA技术的特征技术的特征n5、具有强大的测试仿真功能、具有强大的测试仿真功能n通过计算机软件对所设计的电子系统从各种不同层次的通过计算机软件

    12、对所设计的电子系统从各种不同层次的系统性能进行系列测试和仿真系统性能进行系列测试和仿真n对目标器件进行边界扫描测试、逻辑测试等验证设计功对目标器件进行边界扫描测试、逻辑测试等验证设计功能能n6、有效保护设计者知识产权、有效保护设计者知识产权n由于用由于用HDL表达的专用功能设计在实现目标方面有很大表达的专用功能设计在实现目标方面有很大的可选性,使设计者拥有完全的知识产权的可选性,使设计者拥有完全的知识产权n7、采用开放性和标准化的软件框架、采用开放性和标准化的软件框架n实现资源共享实现资源共享EDA技术的特征技术的特征EDA技术的优势技术的优势nEDA技术的优势技术的优势n采用硬件描述语言,便

    13、于复杂系统的设计采用硬件描述语言,便于复杂系统的设计n强大的系统建模和电路仿真功能强大的系统建模和电路仿真功能n具有自主知识产权具有自主知识产权n开发技术的标准化合规范化开发技术的标准化合规范化n全方位利用计算机的自动设计、仿真和测试技全方位利用计算机的自动设计、仿真和测试技术术n对设计者的硬件知识和硬件经验要求低对设计者的硬件知识和硬件经验要求低nEDA技术的实现目标技术的实现目标n印制电路板印制电路板(PCB)设计设计n集成电路集成电路(IC或或ASIC)设计设计n可编程逻辑器件可编程逻辑器件(CPLD/FPGA)设计设计n混合电路设计混合电路设计EDA技术的技术的实现目标实现目标第一章

    14、EDA技术简介技术简介 EDA技术的基本概念和发展历程 EDA技术的特征、优势和实现目标 EDA技术的设计流程和设计工具 EDA技术与ASIC设计 EDA技术的发展趋势EDA技术简介技术简介nEDA技术的设计流程技术的设计流程n设计流程的作用设计流程的作用n规范设计活动的准则规范设计活动的准则n规定了工具的选择和使用规定了工具的选择和使用n规定了设计的工作次序和内容,缩短设计周期规定了设计的工作次序和内容,缩短设计周期n保障了设计的正确性和可靠性保障了设计的正确性和可靠性EDA技术的技术的设计流程设计流程n典型的典型的EDA设计流程设计流程设计准备设计准备设计输入设计输入设计处理设计处理设计验

    15、证设计验证器件编程器件编程EDA技术的技术的设计流程设计流程明确设计任务,确定设计方法明确设计任务,确定设计方法文本输入方式、图形输入方式、波形输文本输入方式、图形输入方式、波形输入方式、混合输入方式等入方式、混合输入方式等核心环节。编译软件对设计输入文件进行核心环节。编译软件对设计输入文件进行逻辑化简、综合和优化,产生编程文件逻辑化简、综合和优化,产生编程文件进行功能仿真和时序仿真,确保设计正确进行功能仿真和时序仿真,确保设计正确将编程数据文件通过软件下载到具体器件中将编程数据文件通过软件下载到具体器件中nEDA技术设计流程技术设计流程(1)设计说明书设计说明书(2)建立建立VHDL行为模型

    16、行为模型(3)VHDL行为仿真行为仿真(4)VHDL-RTL级建模级建模(5)前端功能仿真前端功能仿真(6)逻辑综合逻辑综合(7)测试向量生成测试向量生成(8)功能仿真功能仿真(9)结构综合结构综合(10)门级时序仿真门级时序仿真(11)硬件测试硬件测试(12)设计完成设计完成EDA技术的技术的设计流程设计流程VHDL仿真器仿真器文本编译器文本编译器图形编译器图形编译器生成生成VHDL源程序源程序VHDL综合器综合器逻辑综合、优化逻辑综合、优化FPGA/CPLD布线布线/适配器适配器自动优化、布局、布线自动优化、布局、布线/适配适配编程编程器器/下载电缆下载电缆编译、下载编译、下载测试电路测试

    17、电路硬件测试硬件测试网表文件网表文件(EDIF,XNF,VHDL)行为仿真行为仿真功能仿真功能仿真时序仿真时序仿真VHDL源程序源程序熔丝图、熔丝图、SRAM文件、文件、VHDL/Verilog网表网表功能仿真功能仿真时序仿真时序仿真门级门级仿真器仿真器EDA技术的工技术的工程设计流程图程设计流程图EDA技术的技术的设计流程设计流程EDA设计的实验室实现方法 n由语言描述、符号描述、几何描述等不同设计形式做出的项目设计文档,最后转化为硬件实物的过程,称为设设计实现计实现。设计实现 描述 Description 设 计 Design 工 艺 Technology 抽象 Abstraction 风

    18、格 Style 模型 Model 设计和实现的关系 nEDA设计工具设计工具n以工作站或者高级计算机为基本工作平台,利以工作站或者高级计算机为基本工作平台,利用计算机图形学、逻辑学、计算数学和人工智用计算机图形学、逻辑学、计算数学和人工智能等应用学科的成果而开发出来的一整套软件能等应用学科的成果而开发出来的一整套软件工具。工具。n包括:编辑器、仿真工具、检查包括:编辑器、仿真工具、检查/分析工具、分析工具、优化优化/综合工具、布局布线工具和下载器等。综合工具、布局布线工具和下载器等。n主要集成设计工具平台:主要集成设计工具平台:MAX+plus II、Quartus IIEDA设计工具设计工具

    19、EDA设计工具设计工具EDA设计工具设计工具检查检查/分析工具分析工具编辑器编辑器仿真器仿真器优化优化/综合工具综合工具下载器下载器文字编辑器文字编辑器图形编辑器图形编辑器统计型仿真器统计型仿真器确定型仿真器确定型仿真器EDA设计工具设计工具nEDA集成设计工具平台集成设计工具平台nMAX+plus IIn是是Altera 提供的提供的CPLD/FPGA开发集成环境开发集成环境n界面友好,使用快捷界面友好,使用快捷最易学易用的最易学易用的EDA软件软件n提供了一种与结构无关的设计环境提供了一种与结构无关的设计环境nQuartus IIn是是Altera 提供的提供的MAX+plus II 更新

    20、换代产品更新换代产品n提供了完整的多平台设计环境,具有强大的电路设提供了完整的多平台设计环境,具有强大的电路设计仿真能力计仿真能力n完全支持完全支持VHDL、Verilog 设计流程,并支持第三方设计流程,并支持第三方仿真工具仿真工具第一章 EDA技术简介技术简介 EDA技术的基本概念和发展历程 EDA技术的特征、优势和实现目标 EDA技术的设计流程和设计工具 EDA技术与ASIC设计 EDA技术的发展趋势EDA技术简介技术简介EDA技术与ASIC设计nEDA技术与技术与ASIC设计设计nASIC:Application Specific Integrated CircuitsnASIC是是E

    21、DA技术的重要应用方面技术的重要应用方面nASIC的主要特点的主要特点n体积小、重量轻、功耗低体积小、重量轻、功耗低n可靠性高可靠性高n易于获得高性能易于获得高性能n保密性强保密性强n大批量应用时成本显著降低大批量应用时成本显著降低nASIC按功能可分为数字按功能可分为数字ASIC、模拟、模拟ASIC、数模混合、数模混合ASIC和微波和微波ASICnASIC的设计方法的设计方法EDA技术与ASIC设计ASIC设计方法设计方法全定制法全定制法半定制法半定制法门阵列法门阵列法标准单元法标准单元法可编程逻辑器件法可编程逻辑器件法nSoC设计设计n将整个电子系统集成在同一芯片上,称为片上系统将整个电子

    22、系统集成在同一芯片上,称为片上系统(SOC)。)。n产品设计日益复杂,产品的生命周期不断缩短,因而产品设计日益复杂,产品的生命周期不断缩短,因而要求设计出更新、更快、更廉价的产品。在设计印刷要求设计出更新、更快、更廉价的产品。在设计印刷电路板时采用电路板时采用IP模块设计方法,以及提供顺畅且可靠模块设计方法,以及提供顺畅且可靠的设计流程至关重要。即:项目设计的设计流程至关重要。即:项目设计ASIC设计设计IP模块设计模块设计 PCB设计设计 仿真设计仿真设计测试设计。在测试设计。在PCB设计之前先设计设计之前先设计ASIC芯片,尽量减少板上元件数目,芯片,尽量减少板上元件数目,提高集成度,提高

    23、可靠性。提高集成度,提高可靠性。EDA技术与ASIC设计 IP(IP(intelligent property)原指知识产权,这里指预原指知识产权,这里指预先设计好的特定的电路功能模块。先设计好的特定的电路功能模块。可复用的可复用的IPIP核分为硬核、固核与软核核分为硬核、固核与软核n硬核是以版图形式描述的设计模块,基于一定的设计工艺,硬核是以版图形式描述的设计模块,基于一定的设计工艺,不能随便修改。不能随便修改。n固核由固核由RTLRTL描述,由可综合的网表组成。可在系统级重新描述,由可综合的网表组成。可在系统级重新布局布线,技术细节对设计者是透明的。布局布线,技术细节对设计者是透明的。n软

    24、核是用硬件描述语言描述的软核是用硬件描述语言描述的IPIP核,与实现技术无关,可核,与实现技术无关,可随意修改。灵活性很强。随意修改。灵活性很强。EDA技术与ASIC设计nIP模块设计不仅是集成电路设计公司的重要任务,模块设计不仅是集成电路设计公司的重要任务,也是也是EDA工具开发公司的实力表现,一套工具开发公司的实力表现,一套EDA工工具,它提供的具,它提供的IP模块越丰富,用户的设计就越方模块越丰富,用户的设计就越方便、越容易。便、越容易。n关于应该使用哪种类型的关于应该使用哪种类型的IP至今仍有争议。究竟至今仍有争议。究竟是使用需要是使用需要VHDL代码进入综合和布局布线过程代码进入综合

    25、和布局布线过程的软的软IP,还是使用芯片中物理掩膜布局已得到证,还是使用芯片中物理掩膜布局已得到证明的硬明的硬IP,要根据设计项目的具体情况来确定。,要根据设计项目的具体情况来确定。EDA技术与ASIC设计IP复用流程 硬IP的复用流程 软IP的复用流程 EDA技术与ASIC设计nSoC单片系统单片系统n将一个完整的系统集成在一块芯片上。将一个完整的系统集成在一块芯片上。CPU核核DSP核核RAM/ROM核核A/D、D/A核核I/O单元核单元核USB接口核接口核EDA技术与ASIC设计基于基于IP模块的模块的SoC设计设计含时序的全功能含时序的全功能指令集、体系结构指令集、体系结构总线功能总线

    26、功能时序模型时序模型测试模型测试模型平面物理模型平面物理模型电规则模型电规则模型周期精度的全功能周期精度的全功能IP模块层次模块层次IP模块设计模块设计IP模块设计模块设计 IP模块生成模块生成设计修正设计修正功能设计功能设计详细时序设计详细时序设计物理设计物理设计系统芯片软件设计系统芯片软件设计IP模块集成模块集成第一章 EDA技术简介技术简介 EDA技术的基本概念和发展历程 EDA技术的特征、优势和实现目标 EDA技术的设计流程和设计工具 EDA技术与ASIC设计 EDA技术的发展趋势EDA技术简介技术简介nEDA技术的发展趋势技术的发展趋势nEDA技术使开发工具得到进一步发展技术使开发工

    27、具得到进一步发展nEDA工具朝着功能强大、简单易学、使用方便发展工具朝着功能强大、简单易学、使用方便发展n随着超大规模集成电路技术水平的提高,对随着超大规模集成电路技术水平的提高,对EDA工工具提出了更高的要求,促使具提出了更高的要求,促使EDA工具不断发展工具不断发展nEDA技术促使技术促使ASIC和和CPLD/FPGA的融合的融合nASIC功能强大、设计复杂、费用高功能强大、设计复杂、费用高nCPLD/FPGA功能有限、设计简单、费用低功能有限、设计简单、费用低n两者的融合为设计者提供更多的选择两者的融合为设计者提供更多的选择EDA技术的技术的发展趋势发展趋势nEDA技术朝着技术朝着ESD

    28、A和和CE方向发展方向发展n电子系统设计自动化电子系统设计自动化(ESDA)强调建立从系统到电路强调建立从系统到电路的统一描述语言的统一描述语言n注重系统总体设计、综合方案比较和优化设计注重系统总体设计、综合方案比较和优化设计n并行工程并行工程(CE)要求设计者并行工作、协同设计要求设计者并行工作、协同设计nEDA技术的应用领域越来越广技术的应用领域越来越广n广泛用于科研和信产品的开发广泛用于科研和信产品的开发n广泛应用于传统机电设备的升级换代和技术改造广泛应用于传统机电设备的升级换代和技术改造n外设技术与外设技术与EDA工程相结合的市场前景看好工程相结合的市场前景看好nEDA技术已经成为解决集成电路设计的重要途径技术已经成为解决集成电路设计的重要途径EDA技术的技术的发展趋势发展趋势n课程安排n授课12次:14周结课n答疑:周三下午15:00-16:30n 考核办法 n平时成绩:作业,出勤(点名3次),回答问题 10%n实验3次。实验成绩:20%n期末考试(开卷)成绩:70%课程安排和考核办法

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:电子设计自动化lzschaper课件1.ppt
    链接地址:https://www.163wenku.com/p-4146726.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库