书签 分享 收藏 举报 版权申诉 / 51
上传文档赚钱

类型EDA技术及应用第5章-EDA技术工程应用实例-EDA技术与应用课件.ppt

  • 上传人(卖家):晟晟文业
  • 文档编号:3896666
  • 上传时间:2022-10-23
  • 格式:PPT
  • 页数:51
  • 大小:4.35MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《EDA技术及应用第5章-EDA技术工程应用实例-EDA技术与应用课件.ppt》由用户(晟晟文业)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    EDA 技术 应用 工程 实例 课件
    资源描述:

    1、第5章EDA 5.1 SOPC设计 5.2 基于FPGA的PID算法实现5.1 SOPC 作为PLD和ASIC相结合的产物SOPC(System on Programmable Chip,片上可编程系统),可以在单片PLD器件上实现SOC。SOPC系统的硬件由一个软核CPU(Altera的Nios II)加上各种标准的IP核及用户自定义IP核组成,Altera提供的Qsys嵌入式套件作为硬件系统的集成工具。SOPC软件系统可以使用高级C语言进行系统工程实现,Altera提供了基于开源的Eclipse的Nios II 11.1 Software Buider Tools for Eclipse来

    2、支持系统功能的开发。层次设计Qsys内部互联Nios II系统框图Nios II处理器原理框图Nios II硬件和软件开发流程图软件的目录结构 BSP工程的基本结构基于HAL系统的层次结构图1.硬件系统设计5.1.2 Hello WoQsys界面CPU设置设置外部SDRAM控制器设置ROM控制器的数据及地址总线设置ROM控制器的时序组件总线连接外部Flash接口及三态桥的总线连接 jtag_uart设置Sysid设置浮点硬件组件sys_timer设置系统总线连接系统地址空间分配最终生成的Qsys系统图CPU复位设置生成Qsys系统添加sys_HD至顶层原理图文件顶层原理图采用的命令:to,lo

    3、cation 采用的模板形式:to,location Name1 PIN_XX Name2 PIN_XX插入工程配置,进行引脚锁定。采用的命令:set_location_assignment-to采用的模板形式:set_location_assignmentPIN_XX-to Name1 set_location_assignmentPIN_XX-to Name2使用tcl脚本,进行引脚锁定。插入引脚配置移除引脚配置Quartus II编程下载器JTAG下载器设置 Configuration设置转换sof文件编程pof文件2.软打开Nios II SBT选择Nios II视图创建应用工程向导创

    4、建完工程后的Nios II SBT界面BSP Editor Main标签页运行Nios II硬件配置查看系统ID属性Flash 编程设置Flash编程对话框连接EPCS控制器到总线CPU复位向量设置EPCS控制器的引脚连接情况设置EPCS外部引脚端口Flash编程5.2 基于FPGA的P模拟PID控制系统原理框图位置式PID实现原理框图使用了3个浮点乘法器、3个浮点加法器和3个寄存器,实现了位置式数字PID控制器。如果只需要增量型数字PID控制器,可以移除图中的虚线下方的加法器和寄存器即可。图5-52 PID顶层原理图浮点数加减器创建向导第2a页浮点数加减器创建向导第1页浮点乘法器向导第3页浮

    5、点转换器向导第3页十进制数累加器向导第1页十进制数累加器向导第4页选择ALTPLL软核clk_pll创建向导第1页clk_pll创建向导第2页clk_pll创建向导第6页clk_pll创建向导第8页counter_n计数器的源程序如下:library ieee;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity counter_n isgeneric(counter_cc:integer:=16;n_width:integer:=9);port(clk_c

    6、 :in std_logic;ena :in std_logic;cc_out :out std_logic;rst :in std_logic );end counter_n;architecture vco_do OF counter_n issignal reg_12:std_logic_vector(n_width downto 0);signal cc_out_pre:std_logic;begin process(clk_c,rst)begin if rst=1 then reg_12 0);cc_out_pre=counter_cc)then reg_12 0);cc_out_p

    7、re=1;else cc_out_pre=0;reg_12=reg_12+1;end if;end if;else reg_12 0);cc_out_pre=0;end if;cc_out=cc_out_pre;end process;end architecture vco_do;PID控制器仿真波形系统结构图软PID控制的实现Nios II处理器定制外设示意图PWM组件的原理框图式中,fPWM为PWM波形的频率;fclk为PWM组件的工作时钟频率;CR为周期寄存器值。组件编辑器PWM组件总线信号设置设置总线的关联时钟和复位信号设置复位信号关联时钟编写的软PID程序如下:/定义变量float

    8、 r;/调整目标量float Kp;/PI调节的比例常数float Ti;/PI调节的积分常数float T;/采样周期float Td;/微分时间float a0;float a1;float a2;float ek;/偏差ekfloat ek1;/偏差ek-1float ek2;/偏差ek-2float del_uk;/增量输出float uk;/位置量输出uk/PID参数初始化void PID_init(float rn)a0=Kp*(1+T/Ti+Td/T);a1=-Kp*(1+2*Td/T);a2=-Kp*Td/T;r=rn;/PID控制器核心子程序float PID_fix(float cn)ek2=ek1;ek1=ek;ek=r-cn;/计算误差 del_uk=a0*ek+a1*ek1+a2*ek2;/计算增量输出 /uk=del_uk+uk;/计算位置量输出 return del_uk;/AD采样子程序float AD_samp(void)float ad_val;/采样控制过程略 return ad_val;/主程序int main()PID_init(float rn);/PID初始化 while(1)/等待采样结束中断,调用AD_samp()输入采样数据 /PID输出量计算PID_fix(float cn)/调整PWM组件的占空比或者从D/A接口送出数据

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:EDA技术及应用第5章-EDA技术工程应用实例-EDA技术与应用课件.ppt
    链接地址:https://www.163wenku.com/p-3896666.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库