第三章硬件描述语言VHDL课件.ppt
- 【下载声明】
1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
3. 本页资料《第三章硬件描述语言VHDL课件.ppt》由用户(晟晟文业)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 第三 硬件 描述 语言 VHDL 课件
- 资源描述:
-
1、 组合电路设计 时序逻辑电路设计 一个完整的VHDL语言程序包括五部分:(1)实体(entity):用于描述设计电路的外部输入、输出接口信号;(2)结构体(architecture):用于描述电路内部的结构和行为;(3)程序包(package):用于存放各设计模块能共享的数据类型、常量和子程序;(4)配置(configuration):用于从库中选取所需单元,组成系统设计的不同版本;(5)库(library):用于存放已经编译的实体、结构体、程序包和配置。多路选择器是典型的组合电路。2选1多路选择器的电路模型或元件图如图所示,例3-1是其VHDL的完整表述,即可使用VHDL综合器直接综合出实现
2、既定功能的逻辑电路,对应的逻辑电路如图和波形图如下所示,因而可以认为是多路选择器的内部结构。selabqT_mux2inst8lp m _ a n d 0instlp m _ a n d 0inst1lp m _ o r0inst2lp m _ in v 0inst3V C CaIN P U TV C CsIN P U TV C CbIN P U TyO U T P U T例3-1library ieee;-库的使用说明use ieee.std_logic_1164.all;entity T_mux2 is -实体说明;Port(a,b,sel:in bit;q:out bit);-端口说明,
3、用以描述器件的输入、输end end T_mux2;出引脚;architecture rtl of T_mux2 is -结构体说明;begin process(sel,a,b)-进程语句;begin if sel=0 then -if语句;q=a;else q=b;end if;end process;end rtl;例3-2library ieee;-库的使用说明use ieee.std_logic_1164.all;entity T_mux2 is -实体说明;Port(a,b,sel:in bit;q:out bit);-端口说明,用以描述器件的输入、输end end T_mux2;出
4、引脚;architecture rtl of T_mux2 is -结构体说明;signal d,e:bit;begind=a and(not sel);e=b and sel;y=d or e;end rtl;例3-3 library ieee;-库的使用说明 use ieee.std_logic_1164.all;entity T_mux2 is -实体说明;Port(a,b,sel:bit;q:out bit);-端口说明,用以描述器件end end T_mux2;出引脚;architecture rtl of T_mux2 is -结构体说明;begin y=a when sel=0
5、else b;end rtl;注意:电路的功能是可以唯一的;但是其电路的结构方式不是唯一的,它决定于综合器的基本元件库的来源、优化方向和约束的选择、以及目标器件(如FPGA)的结构特点等。库的说明:库是经过编译后的数据的集合,它存放包集合定义、实体定义、构造体定义和配置定义。它的目的是:(1)提高设计效率,而且多组多人可以同时工作;(2)便于使设计遵循某些统一的语言标准或数据格式;(3)可以利用已有的设计成果。库的功能类似于DOS操作系统的目录,库中存放设计的数据。在VHDL语言中,库的说明总是放在设计单元的最前面:LIBRARY 库名;这样,在设计单元内的语言就可以使用库中的数据。由此可见,
6、库的好处在于使设计者可以共享已经编译过的设计结果。在VHDL语言中可以存在多个不同的库,而且库与库之间是独立的,不能互相嵌套。库的种类有五种:IEEE库、STD库、ASIC 矢量库、用户定义的库和WORK库;(1)IEEE库:IEEE中有一个“STD_LOGIC_1164”的包集合,它是IEEE正式认可的标准库(2)STD库:VHDL的标准库,在库中存放有”standard“的 包集合是VHDL的标准配置;(3)ASIC库:在VHDL中,为了进行门级的仿真,各公司提供了面向ASIC的逻辑门库。该库中存放有与逻辑门一一对应的实体。(4)WORK库:现行作业库,在使用时不需加以说明;(5)用户定义
7、库:使用时需要加以说明。一、库的使用:(1)库的说明:五种库除了WORK库和标准库以外,其他的库在使用时均需要加以说明,第一个语句是:LIBRARY 库名,表明是使用的哪一个库,另外需要说明用的是库中的哪个包集合以及项目名,第二句的使用格式如下:LIBRARY 库名.包集合名.项目名;例如 library ieee;use ieee.std_logic_1164.all;(2)库说明作用范围:从实体的说明开始到该实体所属的结构体为止,整个设计都可以对库的资源进行调用。当一个VHDL源程序出现两个以上的实体时,每一实体的前面都需要有自己完整的库的使用说明语句。二、实体说明:实体描述的是电路器件的
展开阅读全文