书签 分享 收藏 举报 版权申诉 / 750
上传文档赚钱

类型数字电路(全套750页PPT课件).pptx

  • 上传人(卖家):三亚风情
  • 文档编号:3523771
  • 上传时间:2022-09-11
  • 格式:PPTX
  • 页数:750
  • 大小:11.03MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《数字电路(全套750页PPT课件).pptx》由用户(三亚风情)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    数字电路 全套 750 PPT 课件
    资源描述:

    1、数字电路数字电路 Digital CircuitsDigital Circuits 1.1.模拟信号与数字信号模拟信号与数字信号一、一、模拟信号(模拟信号(Analog Signal)Analog Signal)模拟量模拟量 时间和数值连续的物理量,如速度、温度、声音)时间和数值连续的物理量,如速度、温度、声音)tv正弦波信号正弦波信号锯齿波信号锯齿波信号tv模拟量是模拟量是时间的连续函数时间的连续函数数字逻辑基础数字逻辑基础模拟信号:模拟信号:Frequency,Period,Amplitude,Phase模拟电路:分析输出信号与输入信号在模拟电路:分析输出信号与输入信号在频率、周期、频率、

    2、周期、幅度和相位幅度和相位等方面的不同,等方面的不同,如如:交、直流放大器(交、直流放大器(AC、DC Amplifier)、)、信号发生器(信号发生器(Signal Generator)、)、滤波器(滤波器(Filter)等。等。在模拟电路中,在模拟电路中,Transistor、Diode等元器件等元器件均均工作在放大状态工作在放大状态。二、二、数字信号(数字信号(Digital SignalDigital Signal)数字量数字量:时间和幅度都是离散的:时间和幅度都是离散的。大多数数字信号都是由模拟信号变换而来大多数数字信号都是由模拟信号变换而来数字信号:数字信号:tu数字信号:数字信号

    3、:(bit、bit time、bit rate)数字信号数字信号周期性:周期性:Frequency、Period、Pulse Width、Duty Ratio、Amplitude非周期性非周期性实际数字信号:实际数字信号:tr 上升时间,上升时间,tf 下降时间下降时间 tw脉冲宽度,脉冲宽度,T周期,周期,Vm幅度幅度 2 2 数字电路数字电路常见逻辑电路:逻辑门、组合逻辑电路、常见逻辑电路:逻辑门、组合逻辑电路、时序逻辑电路、存储器、时序逻辑电路、存储器、PLDPLD等。等。二值逻辑(数字逻辑)二值逻辑(数字逻辑):用彼此相关又相互对立的两种:用彼此相关又相互对立的两种状态来代表逻辑变量状

    4、态来代表逻辑变量1和和0,在数字电路中,常用开关的闭合与断开、指示灯的在数字电路中,常用开关的闭合与断开、指示灯的亮灭、特别是电平的高、低来表示二值逻辑。亮灭、特别是电平的高、低来表示二值逻辑。数字电路研究:输入与输出间的逻辑关系数字电路研究:输入与输出间的逻辑关系一、一、数字电路的发展与分类数字电路的发展与分类电子管电子管半导体分离元件半导体分离元件小规模集成电路小规模集成电路中规模集成电路中规模集成电路大规模集成电路大规模集成电路超大规模超大规模甚大规模甚大规模包括:包括:resistor,capacitor,inductor,transistor,diode etc.SSIC (250个

    5、个)MSIC(505千千)LSIC(5千千10万万)VLSIC(10万万1千万千万)ULSIC(1千万千万10亿亿)超超大规模超超大规模SLSIC(10亿亿)二、二、数字电路的分析方法与测试技术数字电路的分析方法与测试技术1.1.数字电路的分析方法数字电路的分析方法基本分析方法:功能表、真值表、逻辑表达式、波形图基本分析方法:功能表、真值表、逻辑表达式、波形图2.2.数字电路的测试技术数字电路的测试技术数字电压表、电子示波器、逻辑分析仪数字电压表、电子示波器、逻辑分析仪仿真软件:仿真软件:EWB(Electronics Workbench)PLDPLD设计软件:设计软件:ISP Synario

    6、、MAX+PLUSII三、三、数字电路的优点:数字电路的优点:2 2、抗干扰能力强,精度高。抗干扰能力强,精度高。(2)模拟系统的精度由元器件的精度决定,模拟元器)模拟系统的精度由元器件的精度决定,模拟元器件的精度很难达到件的精度很难达到10-3 以上,而数字系统只要以上,而数字系统只要14位就可位就可以达到以达到10-4 的精度。在高精度的的精度。在高精度的 系统中有时只能采用系统中有时只能采用数字系统。数字系统。1 1、单元电路简单。单元电路简单。对电路中元器件参数的精度要求相对电路中元器件参数的精度要求相对不高,只要能区分两种截然不同的状态即可。对不高,只要能区分两种截然不同的状态即可。

    7、(1 1)数字电路加工和处理的都是二进制信息,不易受)数字电路加工和处理的都是二进制信息,不易受到外界干扰,因而抗干扰能力强。而模拟系统的各元件到外界干扰,因而抗干扰能力强。而模拟系统的各元件都有一定的温度系数,且电平是连续变化的,易受温度、都有一定的温度系数,且电平是连续变化的,易受温度、噪声、电磁感应的等的影响。噪声、电磁感应的等的影响。3 3、数字信号便于长期存储数字信号便于长期存储4 4、保密性好保密性好5 5、通用性强通用性强 由于数字部件具有高度规范性,便于集成和大规模生产,由于数字部件具有高度规范性,便于集成和大规模生产,而对电路参数的要求不严,故产品的成品率高。采用标准而对电路

    8、参数的要求不严,故产品的成品率高。采用标准化的逻辑部件构成各种各样的数字系统,省时省力。化的逻辑部件构成各种各样的数字系统,省时省力。3 3 数制数制一、一、N N进制数进制数N进制进制:以以N为基数的记数体制。为基数的记数体制。1.有有N个数码:个数码:0(N1)2.逢逢N进进1。第第i位的权值位的权值iii55K(N)常用数制常用数制二进制二进制:Binary八进制八进制:OctalHexadecimal十六进制十六进制:十进制十进制:Decimal(1)十进制十进制 (Decimal):以十为基数的计数体制。以十为基数的计数体制。用来表示用来表示十进制十进制数的十个数码:数的十个数码:1

    9、、2、3、4、5、6、7、8、9、0遵循遵循逢十进一逢十进一的规律。的规律。157=012107105101 iiiD10K)N(若在数字电路中采用十进制,必须要有十若在数字电路中采用十进制,必须要有十个电路状态与十个计数码相对应。这样将在技个电路状态与十个计数码相对应。这样将在技术上带来许多困难,而且很不经济。术上带来许多困难,而且很不经济。(2)二进制二进制 (Binary):以二为基数的计数体制。以二为基数的计数体制。表示表示二进制二进制数的两个数码:数的两个数码:0、1遵循遵循逢二进一逢二进一的规律的规律(1011)B=012321212021=(11)D iiiB2KN)(用电路的两

    10、个状态用电路的两个状态-开、关可以开、关可以表示二进制数,数码的存储和传表示二进制数,数码的存储和传输简单、可靠。输简单、可靠。二进制数位数较多,使用不便;二进制数位数较多,使用不便;不合人们的习惯,输入时须将十不合人们的习惯,输入时须将十进制数转换成二进制数,运算结进制数转换成二进制数,运算结果输出时再转换成十进制数。果输出时再转换成十进制数。(3)十六进制)十六进制 (Hexadecimal)0、1、2、3、4、5、6、7、8、9、A(10)、B(11)、C(12)、D(13)、E(14)、F(15)(4E6)H=4 162+14 161+6 160=(1254)D表示十六进制数的数码:表

    11、示十六进制数的数码:iiiHKN16)(以十六为基数的计数体制。以十六为基数的计数体制。(4)八进制八进制 (Octal):0、1、2、3、4、5、6、7(436)O=4 82+3 81+6 80=(286)D表示八进制数的数码:表示八进制数的数码:iiiOKN8)(以八为基数的计数体制。以八为基数的计数体制。1.BD:二进制数按位(权)展开相加。二进制数按位(权)展开相加。例:例:(11010.011)2=1 24+1 23+0 22+1 21+0 20+0 2 1+1 2 2+1 2 3=(26.375)10二、二、数制之间的转换数制之间的转换2.DB:整数和小数部分分别转换,最后相加。整

    12、数和小数部分分别转换,最后相加。整数除二取余,再除二取余,直到商为零。整数除二取余,再除二取余,直到商为零。小数乘二取整,再乘二取整,直到满足误差要求。小数乘二取整,再乘二取整,直到满足误差要求。例:(25)(25)D D=225 余余 1122 余余 062 余余 032 余余 112 余余 10(11001)(11001)B Bb0b1b2b3b4整数除二取余数法:整数除二取余数法:例:(0.375)D=(?)=(?)B B0.375 2=0.750 0 b-10.750 2=1.500 1 b-20.500 2=1.000 1 b-3=(0.011)B小数乘二取整数法:小数乘二取整数法:

    13、0.375 20.75 21.5 21.0(0.375)10=(0.011)2(25.375)10=(11001.011)20.706 2=1.412 1 b-10.412 2=0.824 0 b-20.824 2=1.648 1 b-3(0.706)D=(0.1011)B0.648 2=1.396 1 b-4例:(0.706)D 转换为二进制数,要求其误差不大于2-4。(4E6)H=4 162+14 161+6 160=(1254)D3.H(或(或O)D:按位(权)展开。按位(权)展开。(436)O=4 82+3 81+6 80=(286)D(11101.011000111)B=(0001

    14、1101.0110 0011 1000)B=()H836D1=(1D.638)H.4。BH:以小数点为基准,分别向左、右每以小数点为基准,分别向左、右每四位分为一组,转换为相应的十六进制数。四位分为一组,转换为相应的十六进制数。每四位每四位2进制数,进制数,对应一位对应一位16进制数。进制数。(10101011110.100000111)B=(010 101 011 110.100 000 111)B=()O70463=(2536.407)O52.5.BO:以小数点为基准,向左、右每三位分以小数点为基准,向左、右每三位分为一组,转换为相应的八进制数。为一组,转换为相应的八进制数。每三位每三位2

    15、进制数,进制数,对应一位对应一位8进制数。进制数。6.HB:将每一位将每一位16进制数转换为进制数转换为4位二进制数位二进制数7.OB:将每一位将每一位8进制数转换为进制数转换为3位二进制数位二进制数(567)O=(?)B (567)H=(?)B (101 110 111)B(0101 0110 0111)BDO:DH:HO:(BE.29D)H=(?)O =(1011 1110.0010 1001 1101)B=(276.1235)OOH:=(010 111 110.001 010 011 101)B填空填空:(10010.1010)B=()H =()O =()D。12.A22.518.625

    16、数字系统的信息数字系统的信息数值数值文字文字符号符号二进制代码如二进制代码如ASCII 4 4 二进制码二进制码编码编码自然二进制码自然二进制码BCD码码格雷码格雷码编码编码Encode编码基本规则:编码基本规则:2n=N(N为需编码信息的项数,为需编码信息的项数,n为编码为编码bit数)数)为了分别表示为了分别表示N个字符,所需的二进制个字符,所需的二进制数的最少位数为数的最少位数为n.编码可以有多种,如编码可以有多种,如ASCII码等,数字电路中码等,数字电路中常用的主要是二常用的主要是二十进制码(十进制码(BCD码)等。码)等。BCDBCD码是码是Binary-Coded-Decimal

    17、Binary-Coded-Decimal的缩写,即的缩写,即二进制编码的十进制数二进制编码的十进制数。用来表示十进制数。用来表示十进制数。编码基本规则:编码基本规则:2n=N(N为需编码信息的项数,为需编码信息的项数,n为编码为编码bit数)数)在在BCD码中,十进制数码中,十进制数(N)D 与二进制编与二进制编码码(k3 k2 k1 k0)B 的关系可以表示为:的关系可以表示为:(N)D=k3W3+k2W2+k1W1+k0W0W3W0为二进制各位的权重(权值)为二进制各位的权重(权值)所谓的所谓的8421码,就是指各位的权重是码,就是指各位的权重是8、4、2、1。(0101 1001 001

    18、1)(0101 1001 0011)8421BCD 8421BCD=()=()D D593593(1110101.011000111)B=(0111 0101.0110 0011 1000)B=()8421BCD83657=(75.638)8421BCD.以小数点为基准,分别向左、右每以小数点为基准,分别向左、右每四位分为一组,转换为相应的十进制数。四位分为一组,转换为相应的十进制数。每四位每四位2进制数,进制数,对应一位对应一位10进制数。进制数。填空填空:1(01101011001)8421BCD =()D;2.(186.25)D=()8421BCD 3590001 1000 0110.0

    19、010 0101 在在BCDBCD码中,用四位二进制数表示码中,用四位二进制数表示0-90-9十个数码。四位二十个数码。四位二进制数最多可以表示进制数最多可以表示1616个字符,因此个字符,因此0-90-9十个字符与这十个字符与这1616中组中组合之间可以有多种情况,不同的对应便形成了一种编码。合之间可以有多种情况,不同的对应便形成了一种编码。无权码无权码有权码有权码0000000100100011011001111000100110101011110111101111010111000100012367891011131415512401235789640123567894034567829

    20、10123678549二进制数二进制数自然码自然码 8421码码 2421码码 5421码码 余三码余三码 格雷码是一种无权格雷码是一种无权码,也称循环码。码,也称循环码。特点是:每两个相特点是:每两个相邻代码中的数码仅有一邻代码中的数码仅有一位不同,其余各位均相位不同,其余各位均相同。而且首尾同。而且首尾(0(0和和15)15)两两个代码也仅有一位不同,个代码也仅有一位不同,构成构成“循环循环”。显然,采用格雷码,显然,采用格雷码,位数变化小,可大大减位数变化小,可大大减少错码的可能性少错码的可能性,具有纠具有纠错能力错能力。1894年,英国数学家乔治年,英国数学家乔治.布尔首先提出描述客观

    21、事物布尔首先提出描述客观事物逻辑关系的数学方法逻辑关系的数学方法-布尔代数布尔代数 1938年,克劳德年,克劳德.香农将香农将布尔代数布尔代数用于继电器开关电路用于继电器开关电路的设计,又称的设计,又称开关代数开关代数。随着数字电路的发展,布尔代数。随着数字电路的发展,布尔代数已成为数字逻辑电路分析和设计的数学基础,又称已成为数字逻辑电路分析和设计的数学基础,又称逻辑代逻辑代数数。在。在二值逻辑电路二值逻辑电路中广泛应用。中广泛应用。5 逻辑代数逻辑代数一、逻辑代数的定义:一、逻辑代数的定义:逻辑代数(布尔代数、两值代数、开关代数)逻辑代数(布尔代数、两值代数、开关代数)是用是用来研究数字电路

    22、中的输入、输出之间逻辑关系的工具。来研究数字电路中的输入、输出之间逻辑关系的工具。在逻辑代数中,逻辑变量只能取两个值(二值变量,在逻辑代数中,逻辑变量只能取两个值(二值变量,即即0和和1),中间值没有意义,这里的,中间值没有意义,这里的0和和1只表示两个对只表示两个对立的逻辑状态,如电位的低高(立的逻辑状态,如电位的低高(0表示低电位,表示低电位,1表示高表示高电位)、开关的开合等。电位)、开关的开合等。基本逻辑运算:基本逻辑运算:与、或、非与、或、非逻辑代数基本表达方式逻辑代数基本表达方式:逻辑表达式,真值表,逻辑电路:逻辑表达式,真值表,逻辑电路(1)“与与”逻辑逻辑EFABC&ABCF逻

    23、辑符号逻辑符号逻辑式逻辑式F=ABCAFBC00001000010011000010101001101111条件都具备时,事条件都具备时,事件件F F才发生。才发生。有有0得得0全全1为为1一。一。基本逻辑运算基本逻辑运算真值表真值表(2)“或或”逻辑逻辑 1ABCF逻辑符号逻辑符号AEFBC当有一个具备时,事当有一个具备时,事件件F F就会发生。就会发生。逻辑式逻辑式F=A+B+CAFBC00001001010111010011101101111111有有0得得0全全1为为1真值表真值表(3)“非非”逻辑逻辑A具备时具备时,事件,事件F不发生;不发生;A不具备时,事件不具备时,事件F发生。发

    24、生。逻辑符号逻辑符号AEFR逻辑式逻辑式AF AF0110入入1得得0入入0得得1真值表真值表(1)(1)为国家标准规定的符号;为国家标准规定的符号;(2)(2)为过去沿用的图形符号;为过去沿用的图形符号;(3)(3)为部分国外资料中常用的图形符号。为部分国外资料中常用的图形符号。常见符号常见符号二。二。复合逻辑运算复合逻辑运算与非逻辑与非逻辑先与再非先与再非ABP或非逻辑或非逻辑先或再非先或再非ABP与或非逻辑与或非逻辑先与再或最后非先与再或最后非ABCDP与或非逻辑与或非逻辑先与再或最后非先与再或最后非ABCDP同或逻辑同或逻辑相同为相同为1;相异为相异为0。异或逻辑异或逻辑BABABAP

    25、BAABPA B相异为相异为1;相同为相同为0。BAA B 6 6 逻辑函数与逻辑问题的描述逻辑函数与逻辑问题的描述实际问题实际问题逻辑变量含义逻辑变量含义及状态定义及状态定义真值表真值表逻辑表达式逻辑表达式PABABAB练习练习1.写出逻辑表达式写出逻辑表达式YA(ACBC)的真值表。)的真值表。2.A,B,C三个输入信号,当出现奇数个三个输入信号,当出现奇数个1时,输出时,输出Z1,其它情况下,输出其它情况下,输出Z0。写出真值表和逻辑表达式。写出真值表和逻辑表达式。作业:作业:1.3.1;1.3.3 1.3.4(1)(4);1.3.5;1.3.6。逻辑门电路逻辑门电路 门电路是用以实现逻

    26、辑关系的电子电路,与我们门电路是用以实现逻辑关系的电子电路,与我们所讲过的基本逻辑关系相对应,门电路主要有:所讲过的基本逻辑关系相对应,门电路主要有:与门与门、或门或门、与非门与非门、或非门或非门、异或门异或门等。等。在数字电路中,一般用高电平代表在数字电路中,一般用高电平代表1 1、低电平代表、低电平代表0 0,即所谓的即所谓的正逻辑系统正逻辑系统。100VVcc只要能判断高只要能判断高低电平即可低电平即可正逻辑正逻辑+5VFR4R2R13kT2R5R3T3T4T1T5b1c1ABCCBAF 2 21 1 二极管的开关特性二极管的开关特性 第第2 2章章 逻辑门电路逻辑门电路 数字集成电路绝

    27、大多数都是由双极型二极管、三数字集成电路绝大多数都是由双极型二极管、三极管或单极型场效应管组成。这些晶体管大部分工作在极管或单极型场效应管组成。这些晶体管大部分工作在导通和截止状态,相当于开关的导通和截止状态,相当于开关的“接通接通”和和“断开断开”。2 21 11 1 晶体二极管的开关特性晶体二极管的开关特性 静态开关特性静态开关特性:什么条件下导通,什么条:什么条件下导通,什么条件下截止件下截止 动态开关特性动态开关特性:导通与截止两种状态之间导通与截止两种状态之间转换过程的特性转换过程的特性 2 21 1 双极型晶体管的开关特性及简单门双极型晶体管的开关特性及简单门 2 21 11 1

    28、晶体二极管的开关特性晶体二极管的开关特性 1 1、晶体二极管静态开关特性、晶体二极管静态开关特性(1 1)二极管正向导通时的特点及导通条件)二极管正向导通时的特点及导通条件 V VONON:门槛电压或称阈值电压、开启电压门槛电压或称阈值电压、开启电压 V VD D :导导通压降通压降 VD=0.7V 视为硅二极管导通的条件视为硅二极管导通的条件二极管正向导通时的等效电路二极管正向导通时的等效电路 2 21 1 双极型晶体管的开关特性及简单门双极型晶体管的开关特性及简单门 2 21 11 1 晶体二极管的开关特性晶体二极管的开关特性 1 1、晶体二极管静态开关特性、晶体二极管静态开关特性(1 1

    29、)二极管正向导通时的特点及导通条件)二极管正向导通时的特点及导通条件(2 2)二极管反向截止时的特点及截止条件)二极管反向截止时的特点及截止条件 A.截止条件:截止条件:v vD D V VONON B.实际:实际:v vD00,保证二极管可靠截止,保证二极管可靠截止 C.V VZ Z:二极管的反向击穿电压:二极管的反向击穿电压 二极管截止时的等效电路二极管截止时的等效电路 VIVonVon:阈值:阈值(开启开启)电压电压 硅管硅管0.5V,锗管锗管0.1V。V VD D:导通压降导通压降 硅管硅管0.60.7V,锗管锗管0.20.3V。VZ:反向反向击穿电压击穿电压PNIs:反向反向饱和电流

    30、饱和电流静态特性:静态特性:+ui RL +uo D开关电路 IF 0.5 0.7iD(mA)uD(V)伏安特性UBR0 +ui=0V RL +uo Dui=0V时的等效电路 +ui=5V RL +uo D 0.7Vui=5V 时的等效电路uououi0V时,二极管截止,时,二极管截止,如同开关断开,如同开关断开,uo0V。ui5V时,二极管导通,如时,二极管导通,如同同0.7V的电压源,的电压源,uo4.3V。Ui0.5V时,时,二极管导通。二极管导通。2 21 11 1 晶体二极管的开关特性晶体二极管的开关特性 2 2、晶体二极管动态开关特性、晶体二极管动态开关特性 动态过程(过渡过程):

    31、二极管导通和截止之间转换过程动态过程(过渡过程):二极管导通和截止之间转换过程。t re反向恢复时间:二极管反向恢复时间:二极管从导通到截止所需时间。从导通到截止所需时间。若二极管两端输入电压的若二极管两端输入电压的频率过高,会使输入负电频率过高,会使输入负电压的持续时间小于它的反压的持续时间小于它的反向恢复时间,此时二极管向恢复时间,此时二极管将失去其单向导电性。将失去其单向导电性。反向恢复时间:反向恢复时间:从导通到截止所需时间。从导通到截止所需时间。tre=ts+ttV IiV1V2I1I2tretIs:反向反向饱和电流饱和电流0动态特性:动态特性:ttsttts存储时间存储时间 tt渡

    32、越时间渡越时间(由于(由于PN结电容中存有电荷结电容中存有电荷电荷存储效应)电荷存储效应)二极管开通时间很短二极管开通时间很短,可忽略不计。可忽略不计。二极管的反二极管的反向恢复时间向恢复时间限制了二极限制了二极管的开关速管的开关速度。度。2 22 2 双极型晶体三极管双极型晶体三极管(BJT)(BJT)的开关特性的开关特性 三极管具有饱和、放大和截止三种工作状态,在三极管具有饱和、放大和截止三种工作状态,在数字电路中,静态主要工作于饱和和截止状态数字电路中,静态主要工作于饱和和截止状态 。NPN型硅三极管开关电路及其特性型硅三极管开关电路及其特性 2 22 2 双极型晶体三极管的开关特性双极

    33、型晶体三极管的开关特性(1 1)三极管的截止状态和可靠截止的条件)三极管的截止状态和可靠截止的条件 当当v vI I很小,如很小,如v vI I0.5V0.5V时时:A.vA.vBEBE小于开启电压,小于开启电压,B BE E 间,间,C CE E间都截止间都截止 B.B.C.C.三极管工作在三极管工作在Q Q1 1点或点或Q Q1 1点以下位置,三极管的这点以下位置,三极管的这种工作状态叫截止状态种工作状态叫截止状态 NPNNPN硅三极管截止的条件为硅三极管截止的条件为v vBEBE0.5V0.5V,可靠截止的条件为,可靠截止的条件为v vBEBE0V0V。2 22 2 双极型晶体三极管的开

    34、关特性双极型晶体三极管的开关特性(2 2)三极管的放大状态)三极管的放大状态 当输入电压当输入电压v vI I0.7V0.7V时时 :A.vA.vBEBE大于开启电压,大于开启电压,B BE E 间导通间导通 B.B.v vBEBE被钳在约被钳在约0.7V0.7V,C.C.三极管工作在三极管工作在Q Q2 2点附近点附近,于于Q Q1 1和和Q Q3 3之间之间,三极管的三极管的 这种工作这种工作状态称为放大状态。状态称为放大状态。2 22 2 双极型晶体三极管的开关特性双极型晶体三极管的开关特性(3 3)三极管的饱和状态和可靠饱和的条件)三极管的饱和状态和可靠饱和的条件 当输入电压当输入电压

    35、v vI I增加增加 :A.iA.iB B增加,工作点上移,当工作点上移至增加,工作点上移,当工作点上移至Q Q3 3点时,三点时,三极管进入临界饱和状态。极管进入临界饱和状态。B.iB.iB B再增加,输出再增加,输出i iC C将不再明显变化将不再明显变化 。C.C.工作点向上移至工作点向上移至Q Q3 3点以上,饱和深度增加,进入可靠点以上,饱和深度增加,进入可靠饱和状态。饱和状态。V VCECE=V VCESCES0.3V0.3V 当输入电压当输入电压v vI I增加增加 :三三极管的开关特性极管的开关特性 NPN型三极管截止、放大、饱和3 种工作状态的特点工作状态截 止放 大饱 和条

    36、 件iB00iBIBSiBIBS偏置情况发射结反偏集电结反偏uBE0,uBC0,uBC0,uBC0集电极电流iC0iCiBiCICSce间电压uCEVCCuCEVCCiCRcuCEUCES0.3V工作特点ce间等效电阻很大,相当开关断开可变很小,相当开关闭合Q2ui iB e Rb biC(mA)直流负载线 VCC Rc 0+VCCiC uo工作原理电路输出特性曲线80A60A40A20AiB=00 UCES VCC uCE(V)0 0.5 uBE(V)输入特性曲线iB(A)Q1Q Rc cRbRc+VCCb ce截止状态截止状态饱和状态饱和状态iBIBSui=UIL0.5Vuo=+VCCui

    37、=UIHuo=0.3VRbRc+VCCb ce0.7V0.3V饱和区饱和区截止区截止区放放大大区区 10k ui iB e Rb b+VCC=+5V iC uo Rc 1k c=50 ui=0.3V时,因为时,因为uBE0.5V,iB=0,三极管工作在截止状,三极管工作在截止状态,态,ic=0。因为。因为ic=0,所以输,所以输出电压:出电压:ui=1V时,三极管导通,基极电流:时,三极管导通,基极电流:因为因为0iBIBS,三极管工作,三极管工作在饱和状态。输出电压:在饱和状态。输出电压:uoUCES0.3V2 22 2 双极型晶体三极管的开关特性双极型晶体三极管的开关特性 三极管的截止状态

    38、三极管的截止状态三极管的饱和状态三极管的饱和状态NPNNPN型硅三极管开关等效电路型硅三极管开关等效电路 三极管作为开关使用时只需要:饱和状态和截止状态三极管作为开关使用时只需要:饱和状态和截止状态 输入信号为高电压时,应使三极管可靠地饱和;输入信号为高电压时,应使三极管可靠地饱和;输入信号为低电压时,应使三极管可靠地截止。输入信号为低电压时,应使三极管可靠地截止。2 22 2 双极型晶体三极管的开关特性双极型晶体三极管的开关特性(4 4)三极管开关的过渡过程)三极管开关的过渡过程 ton=td+tr ton开通时间开通时间 toff=ts+tf toff关断时间关断时间 td:延迟时间,上升

    39、到延迟时间,上升到0.1Icmaxtr:上升时间,上升时间,0.1Icmax到到0.9Icmaxts:存储时间,下降到存储时间,下降到0.9Icmaxtf:下降时间,下降到下降时间,下降到0.1Icmaxtd-延迟时间延迟时间(Delay time),为从输入信号正跃变,为从输入信号正跃变瞬间到瞬间到iC 上升到上升到0.1ICmax所需的时间。所需的时间。开通时间开通时间 ton :为从输入信号正跃变瞬间到为从输入信号正跃变瞬间到iC 上升上升到最大值到最大值ICmax的的90所经历的时间。所经历的时间。ton=td+trtr-上升时间上升时间(Rise time),是集电极电流,是集电极电

    40、流iC 从从0.1ICmax上升到上升到0.9ICmax所需的时间。所需的时间。ts-存储时间存储时间(Storage time):从输入信号的负跃:从输入信号的负跃变瞬间到变瞬间到iC 下降到下降到0.9ICmax所需的时间。所需的时间。关断时间关断时间toff :从输入信号负跃变的瞬间,到:从输入信号负跃变的瞬间,到iC 下降到下降到0.1ICmax所经历的时间。所经历的时间。toff=ts+tftf-下降时间下降时间(Fall time):从:从0.9ICmax下降到下降到0.1ICmax所需的时间。所需的时间。ton和和toff一般约在几十纳秒(一般约在几十纳秒(ns=10-9 s)范

    41、围。)范围。通常都有通常都有toff ton,而且,而且ts tf。ts 的大小是影响三极管速度的最主要因素,的大小是影响三极管速度的最主要因素,要提高三极管的开关速度就要设法缩短要提高三极管的开关速度就要设法缩短ton与与toff,特别是要缩短特别是要缩短ts。2 23 3 基本逻辑门电路基本逻辑门电路 2 23 31 1 二极管与门及或门电路二极管与门及或门电路 晶体管门电路晶体管门电路(分立元件分立元件)集成电路集成电路 (TTL(TTL和和MOS)MOS)可编程逻辑器件可编程逻辑器件(CPLD、FPGA)数字数字电路电路1、二极管、二极管“与与”门电路门电路 D D1 1D D2 2导

    42、通导通D D1 1D D2 2导通导通D D1 1截止截止D D2 2导通导通D D1 1导通导通D D2 2截止截止2 2、二极管、二极管“或或”门电路门电路 BAYD D1 1D D2 2导通导通D D1 1D D2 2导通导通D D1 1截止截止D D2 2导通导通D D1 1导通导通D D2 2截止截止例:已知二极管三输入与门和三输入或门以及三个例:已知二极管三输入与门和三输入或门以及三个输入信号的波形,根据与逻辑和或逻辑的功能,对输入信号的波形,根据与逻辑和或逻辑的功能,对应输入信号分别画出与门和或门的输出信号波形。应输入信号分别画出与门和或门的输出信号波形。2 23 31 1 三极

    43、管非门三极管非门 R1DR2AF+12V+3V三极管非门三极管非门uA uF 3V 0.3 0V 3.7 钳位二极管钳位二极管AF T T导通导通T T截止截止R1DR2F+12V+3V三极管非门三极管非门D1D2AB+12V二极管与门二极管与门DTL与非门与非门ABP 例:例:由图所示电路,根据输入波形,画出输出由图所示电路,根据输入波形,画出输出Y Y的波形。的波形。解:由图可以看出,输出解:由图可以看出,输出Y Y=Y Y1 1+Y Y2 2=ABAB+CDCD,根据,根据“与与”逻辑和逻辑和“或或”逻辑的性质,画出输出逻辑的性质,画出输出Y Y的波形的波形 2 24 TTL4 TTL门

    44、电路门电路晶体管门电路晶体管门电路(分立元件分立元件)集成电路集成电路 (TTL(TTL和和MOS)MOS)可编程逻辑器件可编程逻辑器件(CPLD、FPGA)数字数字电路电路集成电路优点集成电路优点:体积小、耗电少、重量轻、可靠性高等。体积小、耗电少、重量轻、可靠性高等。RTL(Resister-Transistor Logic)电阻晶体管逻辑;)电阻晶体管逻辑;DTL(Diode-Transistor Logic)二极管晶体管逻辑;)二极管晶体管逻辑;HTL(High-Threshold Logic)高阈值逻辑;)高阈值逻辑;TTL(Transistor-Transistor Logic)晶

    45、体管晶体管逻辑)晶体管晶体管逻辑;ECL(Emitter Coupled Logic)发射极耦合逻辑;)发射极耦合逻辑;I2L(Integrated Injection Logic)集成注入逻辑)集成注入逻辑(IIL)。常见的数字集成电路分为双极型和单极型两大工艺类常见的数字集成电路分为双极型和单极型两大工艺类 双双极极型型PMOS型型;NMOS型型;CMOS型型 单单极极型型2 24 TTL4 TTL门电路门电路2 24 41 TTL1 TTL与非门与非门(1 1)输入级)输入级 1 1、TTLTTL与非门的电路结构与工作原理与非门的电路结构与工作原理 输出级输出级组成组成:V:V1 1和和

    46、R R1 1多发射极三多发射极三极管极管,实现实现与逻辑与逻辑 D D1 1D D2 2保护保护(2 2)分相级)分相级 输入级输入级组成组成:V V2 2和和R R2 2、R R3 3(3 3)输出级)输出级 分相级分相级组成组成 :V V3 3、V V4 4和和R R4 4、D D3 3T1ABCB1C1ABCB1(a)(b)(1)输入级:由多发射极管输入级:由多发射极管V1和电阻和电阻R1组成。作用是对输入变量组成。作用是对输入变量A、B、C实现与逻辑,实现与逻辑,V1可以等效为二极管与门的形式。可以等效为二极管与门的形式。在流过在流过R R1 1的电流相同的电流相同的情况下,使输出的情

    47、况下,使输出管管V V4 4有更大的基极电有更大的基极电流,提高了带负载流,提高了带负载能力并提高了开关能力并提高了开关速度。速度。(2)中间级:由中间级:由V2、R2和和R3组成。组成。V2的集电极和发射极输的集电极和发射极输出两个相位相反的信号,作为出两个相位相反的信号,作为V3和和V5的驱动信号。的驱动信号。V V3 3和和V V4 4:推拉式电路。:推拉式电路。总是一个导通而另一总是一个导通而另一个截止,有效地降低个截止,有效地降低了输出级的静态功耗,了输出级的静态功耗,提高了与非门的负载提高了与非门的负载能力。能力。(3)输出级:由输出级:由V3、V4、D3和和R4组成,这种电路形式

    48、称组成,这种电路形式称为为推拉式电路(推挽式电路)推拉式电路(推挽式电路)。1 1、任一输入为低电平(、任一输入为低电平(0.3V0.3V)时)时“0”1.4V不足以让不足以让T2、T5导通导通三个三个PN结结导通需导通需2.1VTTL与非门的工作原理与非门的工作原理“0”1.4VvoVo=5-VR2-Vbe3-VD3 3.6V 输出输出高电平!高电平!1 1、任一输入为低电平(、任一输入为低电平(0.3V0.3V)时)时TTL与非门的工作原理与非门的工作原理 2 2、输入全为高电平(、输入全为高电平(3.6V3.6V)时)时全导通全导通电位被钳电位被钳在在2.1V全反偏截止全反偏截止 1V截

    49、止截止TTL与非门的工作原理与非门的工作原理 2 2、输入全为高电平(、输入全为高电平(3.6V3.6V)时)时TTL与非门的工作原理与非门的工作原理 全反偏全反偏“1”饱和饱和vo=0.3VABY Vo=0.3V 输出输出低电平!低电平!D D1 1D D2 2保护保护-0.7V2 24 TTL4 TTL门电路门电路2 24 41 TTL1 TTL与非门与非门 2 2、TTLTTL与非门的电气特性及参数与非门的电气特性及参数 TTLTTL与非门的电气特性主要包括电压传输特性、输入输出特性和动态特性。与非门的电气特性主要包括电压传输特性、输入输出特性和动态特性。(1 1)电压传输特性)电压传输

    50、特性 v0(V)vi(V)123VOH(3.6V)VOL(0.3V)传输特性曲线传输特性曲线v0(V)vi(V)123VOH“1”VOL(0.3V)阈值阈值Vth=1.4V理想的传输特性理想的传输特性输出高电平输出高电平输出低电平输出低电平电压传输特性电压传输特性截止区截止区线性区线性区转折区转折区饱和区饱和区 空载时,输出高电压空载时,输出高电压VOH3.6V,带载后,其输出电压有所带载后,其输出电压有所下降。下降。(a)输出高电压输出高电压VOHTTL产品规定产品规定:标准值标准值VOSH=3V,下限值下限值VOH(min)=2.4V。VOH当输入为低电压当输入为低电压VIL时,输时,输出

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:数字电路(全套750页PPT课件).pptx
    链接地址:https://www.163wenku.com/p-3523771.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库