FPGA入门培训教材(共-45张PPT)课件.ppt
- 【下载声明】
1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
3. 本页资料《FPGA入门培训教材(共-45张PPT)课件.ppt》由用户(三亚风情)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- FPGA 入门 培训教材 45 PPT 课件
- 资源描述:
-
1、FPGAFPGA入门培训入门培训苏州智汇谱电子苏州智汇谱电子科技科技2015.07.23大纲一、应用背景与厂商二、器件的基本结构三、工程实例四、约束与分析一、FPGA的应用背景(1)产品开发 机顶盒、医疗仪器、车载电子仪器 (2)系统与IP的原型验证 手机芯片的原型实现 FPGA主要厂商及产品中高端:Xilinx&Altera分布占有48%与41%的市场份额 中低端:Lattice&Microsemi图 UltraScale架构-业界首款ASIC级All Programmable架构,是行业首次在全面可编程的架构中应用尖端的ASIC技术,致力于从根本上满足人们对数百Gbps的系统性能、全线速下
2、的智能处理能力以及高速浮点运算水平的需求。UltraScale架构能够从布线、时钟、关键路径及电源等四方面解决影响先进节点芯片性能方面的最大瓶颈问题互连Lattice 资深产品暨企业行销总监Brent Przybus表示,网通、工业控制、监控系统等应用正掀起分布式计算的风潮,低功耗、小尺寸FPGA市场后市可期。有鉴于此,为迎合市场趋势要求,降低耗电量、缩小体积及缩减成本将为Lattice于2013年侧重的三大FPGA产品策略自收购Actel后,Microsemi的Flash FPGA在FPGA市场走向主流。其SmartFusion2产品系列具有最高标准的安全性、带有实时嵌入式处理器的即时运作特
3、性,以及具有SEU免疫能力的无与伦比的高可靠性和扩展温度范围支持,已经用于电信、工业、安防和国防市场中众多客户系统中FPGA业界的后起之秀Achronix(亚克尼斯)以其凌厉的市场攻势。Speedster22i FPGA采用英特尔领先的22纳米3D Tri-Gate晶体管技术,其功耗是竞争对手同类器件的一半,是业内唯一内嵌10/40/100G以太网MAC、100Gbps Interlaken、PCI Express Gen1/2/3和2.133 Gbps DDR3控制器硬核的FPGA器件。技术发展趋势二、器件的基本结构Altera 的FPGA Xilinx 的FPGA或其他公司的FPGA 一般
4、的结构都是由一些CLB 或类似称为LE 的宏单元组成其内的component一般是查找表LUT 时序单元 如寄存器外加一些如进位链等先进的结构每个CLB包含4个相似的Slice 4个Slice 按照如上图的阵列排布每个Slice都与一个开关矩阵紧密相接以便连到通用布线阵列GRM 在CLB中还有内部的快速的互联线保证4个slice 之间快速的互联每个乘法器块紧靠着Block RAM 共用4个开关矩阵三、工程实例#STEP#0:define output directory area.Set outputDir./Tutorial_Created_Data/bft_output file mkdi
5、r$outputDir#STEP#1:setup design sources and constraints read_vhdl-library bftLib glob./Sources/hdl/bftLib/*.vhdl read_vhdl./Sources/hdl/bft.vhdl read_verilog glob./Sources/hdl/*.v read_xdc./Sources/bft_full_kintex7.xdc#STEP#2:run synthesis,report utilization and timing synth_design-top bft-part xc7k
6、70tfbg484-2 write_checkpoint-force$outputDir/post_synth report_timing_summary-file$outputDir/post_synth_timing_summary.rpt report_power-file$outputDir/post_synth_power.rpt#STEP#3:run placement and logic optimzation,report utilization and timingestimates,write checkpoint designopt_designplace_designp
展开阅读全文