书签 分享 收藏 举报 版权申诉 / 57
上传文档赚钱

类型可编程逻辑器件结构与原理课件.ppt

  • 上传人(卖家):三亚风情
  • 文档编号:3292253
  • 上传时间:2022-08-17
  • 格式:PPT
  • 页数:57
  • 大小:3.58MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《可编程逻辑器件结构与原理课件.ppt》由用户(三亚风情)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    可编程 逻辑 器件 结构 原理 课件
    资源描述:

    1、重庆工学院电子信息与自动化学院重庆工学院电子信息与自动化学院重庆工学院电子信息与自动化学院 EDAEDAEDA2一一.可编程逻辑器件概述可编程逻辑器件概述二二.PLD的基本结构和特点的基本结构和特点五五.CPLD/FPGA的基本结构及特点的基本结构及特点FPGA CPLD三三.可编程逻辑器件的编程元件可编程逻辑器件的编程元件EDAEDAEDA3一.可编程逻辑器件概述可编程逻辑器件概述 可编程逻辑器件发展过程可编程逻辑器件发展过程 可编程逻辑器件可编程逻辑器件 高密度可编高密度可编 程逻辑器程逻辑器 件件 低密度可编低密度可编 程逻辑器程逻辑器 件件PLAPROMEPLDCPLDFPGAPALG

    2、AL可编程阵列逻辑可编程阵列逻辑通用阵列逻辑通用阵列逻辑可擦除的可编程逻辑器件可擦除的可编程逻辑器件复杂可编程逻辑器件复杂可编程逻辑器件现场可编程门阵列现场可编程门阵列可编程逻辑阵列可编程逻辑阵列可编程只读存储器可编程只读存储器EDAEDAEDA4二.PLD的基本结构和特点 PLD PLD的基本结构的基本结构 典型的典型的PLDPLD由一个由一个“与与”门和一个门和一个“或或”门阵门阵列、输入电路和输出电路组成。如图所示:列、输入电路和输出电路组成。如图所示:EDAEDAEDA5 PLDPLD的特点的特点 PLD的系统设计具有如下特点:减小系统体积,增强的系统设计具有如下特点:减小系统体积,增

    3、强逻辑设计的灵活性,缩短设计周期,提高系统处理速度,逻辑设计的灵活性,缩短设计周期,提高系统处理速度,降低系统成本,提高系统的可靠性,系统具有加密功能。降低系统成本,提高系统的可靠性,系统具有加密功能。各种各种PLDPLD结构特点结构特点 如表所示:如表所示:EDAEDAEDA6三三.可编程逻辑器件的编程元件可编程逻辑器件的编程元件(2)反熔丝型开关;反熔丝型开关;(1)熔丝型开关;熔丝型开关;(4)基于基于SRAM的编程元件。的编程元件。(3)浮栅编程元件:浮栅编程元件:EPROM、E2PROM和和Flash;EDAEDAEDA7PLD的的编程元件(1)1)熔丝型开关熔丝型开关 横线与纵线的

    4、交叉点全是熔丝,不需要的连接的熔丝烧横线与纵线的交叉点全是熔丝,不需要的连接的熔丝烧断,一次性编程。编程机理示意图如下:断,一次性编程。编程机理示意图如下:EDAEDAEDA8(2 2)反熔丝编程)反熔丝编程 各连接点不是熔丝,而是一种各连接点不是熔丝,而是一种PLICEPLICE编程单元。如下图编程单元。如下图所示。未编程时纵线和横线间是不通的,编程时对需要连接所示。未编程时纵线和横线间是不通的,编程时对需要连接处加上高压使其中处加上高压使其中PLICEPLICE介质击穿而短路,使该点逻辑连接。介质击穿而短路,使该点逻辑连接。EDAEDAEDA9(3)3)浮栅编程元件浮栅编程元件 i)i)紫

    5、外线擦除、电可编程只读存储器编程紫外线擦除、电可编程只读存储器编程 简称简称EPROMEPROM,其编程熔丝是一只叠栅型,其编程熔丝是一只叠栅型SIMOSSIMOS管,其结构管,其结构图如下图所示。图如下图所示。加几十伏电压加几十伏电压,存存0EDAEDAEDA10ii)ii)电擦除、电可编程只读存储器编程电擦除、电可编程只读存储器编程 简称简称EEPROMEEPROM,与,与EPROMEPROM结构相似,只是浮栅与漏极结构相似,只是浮栅与漏极间有一薄氧化层,厚度只有间有一薄氧化层,厚度只有8080埃,可产生埃,可产生“隧道效隧道效应应”。它的编程和擦除是同时进行的,每编程一次,。它的编程和擦

    6、除是同时进行的,每编程一次,就以新的信息代替了原来的信息,整个编程时间不到就以新的信息代替了原来的信息,整个编程时间不到1 1秒。秒。iii)iii)闪速型(闪速型(FlashFlash)存储单元编程)存储单元编程 综上所述:ROM的编程方法是按“掩膜ROMPROMEPROME2PROMISP”的次序发展闪速存储单元比隧道型存储单元的芯片结构更简单、更有闪速存储单元比隧道型存储单元的芯片结构更简单、更有效,使闪速存储单元制成的效,使闪速存储单元制成的PLD器件密度更高。这种编程器件密度更高。这种编程器件可以不用编程器而直接在目标系统或线路板上进行编器件可以不用编程器而直接在目标系统或线路板上进

    7、行编程,所以称它为在系统编程程,所以称它为在系统编程Isp。EDAEDAEDA111、基于乘积项的结构模块(、基于乘积项的结构模块(CPLD)2、基于查找表的结构模块(、基于查找表的结构模块(FPGA)EDAEDAEDA12结构原理与特点:结构原理与特点:.容量受乘积项数量容量受乘积项数量 的限制的限制.输入引线多输入引线多1.基于乘积项的结构模块基于乘积项的结构模块PROM 器件的阵列结构“与与”阵列固定阵列固定,“或或”阵列可编程器件阵列可编程器件 因为因为“与与”阵列固定阵列固定,输入信号的每个组,输入信号的每个组合都固定连接,所以合都固定连接,所以“与与”门阵列为全译门阵列为全译码阵列

    8、码阵列EDAEDAEDA13PAL器件的阵列结构例:Q0=I0I1+I1I2EDAEDAEDA14“与与”阵列和阵列和“或或”阵列均可编程器件阵列均可编程器件 这种类型的代表器件这种类型的代表器件是是PLAPLA,右图给出了,右图给出了PLAPLA的阵列结构的阵列结构 它具有它具有“与与”和和“或或”阵列均能编程的特点,阵列均能编程的特点,在实现函数时,只形在实现函数时,只形成所需的乘积项,使成所需的乘积项,使阵列规模比输入数相阵列规模比输入数相同的同的“与与”阵列固定、阵列固定、“或或”阵列可编程的阵列可编程的PROMPROM小得多。小得多。PLA的阵列结构图 逻辑宏单元逻辑宏单元(OLMC

    9、)PLD器件中的器件中的“与与-或或”阵列只能实现组合逻辑电路的功能,要阵列只能实现组合逻辑电路的功能,要实现时序逻辑功能则需要有包含触发器或寄存器的逻辑宏单元实现时序逻辑功能则需要有包含触发器或寄存器的逻辑宏单元(OLMC)来实现)来实现例:例:GAL16V8的的OLMC可配置成可配置成4种工作模式种工作模式(AC1(n)AC0):专用输入、专用组合输出、选通组合输出、寄存器型输出专用输入、专用组合输出、选通组合输出、寄存器型输出P118 输出输出多路开关多路开关 反馈反馈多路开关多路开关 三态三态多路开关多路开关 乘积项乘积项多路开关多路开关来自与阵到来自相邻OLMC(M)输出使能控制OE

    10、时钟时钟CP反馈到与阵列I/O(n)GAL器件输出逻辑宏单元器件输出逻辑宏单元EDAEDAEDA16 它是基于乘积项(它是基于乘积项(Product-Term)技)技术,术,EPROM(或(或Flash)工艺的)工艺的PLD,其其主体仍是与主体仍是与或阵列,因而称之为阵列或阵列,因而称之为阵列型型HDPLD。早期的产品主要有。早期的产品主要有PAL(可编可编程阵列逻辑程阵列逻辑)和和GAL(通用阵列逻辑通用阵列逻辑)。PLA器件既有现场可编程的,也有掩膜可器件既有现场可编程的,也有掩膜可编程的。通用阵列逻辑编程的。通用阵列逻辑GAL是在是在PAL的基的基础上发展起来的。础上发展起来的。CPLD

    11、可以看作类似于可以看作类似于PAL结构的扩展型。结构的扩展型。EDAEDAEDA172、基于查找表的结构模块、基于查找表的结构模块 查找表(查找表(Look-Up-Table)简称为简称为LUT,LUT本质上本质上就是一个就是一个RAM。以查找表作为逻辑单元,。以查找表作为逻辑单元,SRAM进进行编程。行编程。目前目前 FPGA 中多使用中多使用4输入的输入的LUT,所以每一个,所以每一个LUT可以看成一个有可以看成一个有4位地址线的位地址线的161的的RAM。当当用户通过原理图或用户通过原理图或HDL语言描述了一个逻辑电路以语言描述了一个逻辑电路以后,后,FPGA开发软件会自动计算逻辑电路的

    12、所有可能开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入的结果,并把结果事先写入RAM,这样每输入一个,这样每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出出地址对应的内容,然后输出 由于由于SRAM技术的发展,技术的发展,FPGA的门数已接近千万的门数已接近千万门。门。0000010100000101输入 A 输入 B 输入C 输入D 查 找 表查 找 表输出输出16x1RAM查找表原理查找表原理多路选择器(0100)000 0 1 0EDAEDAEDA19FPGA/CPLD生产商 FPGA:FLEX

    13、系列:10K、10A、10KE,EPF10K30E APEX系列:20K、20KE EP20K200E ACEX系列:1K系列 EP1K30、EP1K100 STRATIX系列:EP1系列 EP1S30、EP1S120CPLD:MAX7000/S/A/B系列:EPM7128S MAX9000/A系列FPGA:XC3000系列,XC4000系列,XC5000系列 Virtex系列 SPARTAN系列:XCS10、XCS20、XCS30CPLD:XC9500系列:XC95108、XC95256EDAEDAEDA20ispLSI系列:系列:1K、2K、3K、5K、8K ispLSI1016 、isp

    14、LSI2032、ispLSI1032E、ispLSI3256AMACH系列系列 ispPAC系列系列:(可编程模拟器件可编程模拟器件)CPLD 目前世界上有十几家生产目前世界上有十几家生产CPLD/FPGA的公司,最大的公司,最大的三家是:的三家是:ALTERA,XILINX,Lattice,其中全球其中全球PLD/FPGA产品产品60%以上是由以上是由 Altera和和 Xilinx 提供的。提供的。在欧洲用在欧洲用Xilinx的人多,在日本和亚太地区用的人多,在日本和亚太地区用 ALTERA 的人多,在美国则是平分秋色。的人多,在美国则是平分秋色。Lattice是是ISP技术的发明者技术的

    15、发明者,ISP 技术极大的促进了技术极大的促进了PLD产品的发展,与产品的发展,与ALTERA和和XILINX相比略逊一筹。相比略逊一筹。99年收购年收购Vantis(原(原AMD子公司)子公司),成为第三大可编程成为第三大可编程逻辑器件供应商。逻辑器件供应商。EDAEDAEDA21其他PLD公司:Actel:反熔丝(一次性烧写)反熔丝(一次性烧写)PLD的领导者,由于的领导者,由于反熔丝反熔丝PLD抗辐射,耐高低温,功耗低,速度快,抗辐射,耐高低温,功耗低,速度快,所以在军品和宇航级上有较大优势。所以在军品和宇航级上有较大优势。ALTERA和和XILINX则一般不涉足军品和宇航级市场。则一般

    16、不涉足军品和宇航级市场。Lucent:用于通讯领域的专用:用于通讯领域的专用IP核。核。2000年年Lucent的半导体部独立出来并更名为的半导体部独立出来并更名为agere。2001年年12月月agere公司的公司的FPGA部门被部门被lattice收购。收购。EDAEDAEDA22 如何选用CPLD/FPGA?适于实现复杂的组合逻辑适于实现复杂的组合逻辑 适于实现复杂的状态机适于实现复杂的状态机 适于实现控制量多的逻辑适于实现控制量多的逻辑 适于实现完全编码的状态机适于实现完全编码的状态机 扇入系数大扇入系数大 应用举例:应用举例:存储总线控制器存储总线控制器 译码逻辑译码逻辑 适于实现数

    17、据通路功能适于实现数据通路功能 适于实现寄存器用量大的适于实现寄存器用量大的设计设计 适于实现算术功能:适于实现算术功能:加法器、计数器等加法器、计数器等 适于实现适于实现“One Hot”方式方式编码的状态机编码的状态机 应用举例:应用举例:DSP 功能功能 PCI 接口接口乘积项结构乘积项结构/CPLD查找表结构查找表结构/FPGAEDAEDAEDA231.CPLD1.CPLD的基本结构及特点的基本结构及特点 CPLDCPLD在在PALPAL、GALGAL基础上发展起来的阵列型高密度基础上发展起来的阵列型高密度PLDPLD;CPLDCPLD采用采用COMS EPROM/EEPROMCOMS

    18、 EPROM/EEPROM和快闪存储器等编程工艺;和快闪存储器等编程工艺;CPLDCPLD由可编程逻辑宏(由可编程逻辑宏(Logic BlocksLogic Blocks)单元、可编程)单元、可编程I/OI/O单单元、可编程连线阵列组成。各个逻辑方块均相似于一个简单元、可编程连线阵列组成。各个逻辑方块均相似于一个简单的的PLDPLD元件(如元件(如22V1022V10)。逻辑方块间的相互关系则由可变成)。逻辑方块间的相互关系则由可变成的连线架构,将整个逻辑电路合成而成;的连线架构,将整个逻辑电路合成而成;常见的常见的CPLDCPLD元件元件:Altera:Altera公司的公司的Max5000

    19、Max5000及及Max7000Max7000系列。系列。CypressCypress的的Max340Max340及及Flash370Flash370系列等;系列等;一般来说一般来说CPLDCPLD元件的可逻辑闸数元件的可逻辑闸数(gate count)(gate count)约在约在10007000 Gate 10007000 Gate 之间。之间。五.CPLD/FPGA的基本结构及特点EDAEDAEDA24 MAX 7000S 支持系统级集成支持系统级集成 用于产品制造的系统内可编程特性(用于产品制造的系统内可编程特性(ISP)用于产品测试的边缘扫描测试标准(用于产品测试的边缘扫描测试标准

    20、(JTAG)相同器件系列的引脚纵向兼容相同器件系列的引脚纵向兼容 引脚和结构与最初的引脚和结构与最初的MAX 7000系列兼容系列兼容 所有所有MAX 7000S 器件的增强功能器件的增强功能 6 个输出使能个输出使能 2 个全局时钟个全局时钟 可选的集电极开路输出可选的集电极开路输出 转换速度控制转换速度控制ALTERA MAX 7000S系列的特点EDAEDAEDA25EDAEDAEDA26MAX 7000S 系列的内部互连结构Logic Array Block可编程连线阵列可编程连线阵列EDAEDAEDA27PRN全局清零16个共享逻辑扩展项个共享逻辑扩展项清零时钟清零选择寄存器旁路并联

    21、逻辑并联逻辑扩 展 项扩 展 项通往 I/O模块通往 PIA乘积项选择矩阵来自 I/O引脚全局时钟来自来自 PIA的的 36个信号个信号快速输入选择快速输入选择2MA38X7000S 系列的宏单元结构逻辑阵列QDENEDAEDAEDA282.FPGA2.FPGA 的基本结构与特点 FPGAFPGA的结构特点是基于查找表技术;的结构特点是基于查找表技术;FPGAFPGA采用一种是基于采用一种是基于SRAMSRAM结构,另一种是反熔丝结构,另一种是反熔丝技术的编程工艺;技术的编程工艺;AlteraAltera公司的公司的FPGAFPGA由由3 3种可编程电路种可编程电路(逻辑阵列(逻辑阵列块块LA

    22、BLAB、I/OI/O单元单元IOEIOE和快速互连通道和快速互连通道Fast TrackFast Track)和和1 1个个用于存放编程数据的用于存放编程数据的SRAMSRAM组成;组成;高密度、高密度、SRAM工艺制造,工艺制造,1万万2.5万典型门万典型门。功能更强的功能更强的I/O引脚,每个引脚都是独立的三引脚,每个引脚都是独立的三态门结构,具有可编程的速率控制。态门结构,具有可编程的速率控制。嵌入式阵列块(嵌入式阵列块(EAB),每个),每个EAB提供提供2KB。逻辑单元逻辑单元(LE)采用查找表()采用查找表(LUT)结构。)结构。采用快速通道(采用快速通道(Fast Track)

    23、互连布线结构)互连布线结构 实现快速加法器和计数器的专用进位链。实现快速加法器和计数器的专用进位链。实现高速、多输入逻辑函数的专用级连链。实现高速、多输入逻辑函数的专用级连链。FLEX10K 系列的特点EDAEDAEDA30典型门数量典型门数量逻辑单元逻辑单元数数 量量RAM 规模规模封装形式封装形式供货情况供货情况30,0001,72824,576144-Pin TQFP208-Pin PQFP256-Pin BGA484-Pin BGA1999年上半年年上半年50,0002,88040,960144-Pin TQFP208-Pin PQFP240-Pin PQFP256-Pin BGA48

    24、4-Pin BGA已经供货已经供货100,0004,9924,99249,15224,576208-Pin PQFP240-Pin PQFP256-Pin BGA356-Pin BGA*484-Pin BGA*1999年上半年年上半年或或1998年年7月月130,0006,65665,536240-Pin PQFP484-Pin BGA672-Pin BGA1999年上半年年上半年250,00012,16081,920672-Pin BGA1999年上半年年上半年200,0009,98498,304600-Pin BGA672-Pin BGA1999年上半年年上半年特特 点点EPF10K30E

    25、EPF10K50EEPF10K100EEPF10K100BEPF10K130EEPF10K250EEPF10K200EFLEX 10KE 系列系列FLEX 10K系列FPGA结构图.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOC.IOCIOCEABEAB嵌入式嵌入式阵列块阵列块逻辑单逻辑单元元(LE)快速通快速通道互连道互连逻辑阵列逻辑阵列块块(LAB)EDAEDAEDA32实现输入变量很多的逻辑函数实现输入变量很多的逻辑函数连接相邻连接相邻LE,极快的向上进位功能,极快的向上进位功能 F

    26、LEX 系列的逻辑单元系列的逻辑单元LE(8个个LE构成构成LAB)查找表:函数发生器查找表:函数发生器LE 输出输出进位链级联链查找表(LUT)清零和预置逻辑时钟选择时钟选择进位输进位输入入级联输入级联输入进位进位输出输出级联级联输出输出DATA1DATA2DATA3DATA4CLRNDQLab 控制 2Lab 控制 4Lab 控制 1Lab 控制 31PRNENA至至LAB局部连接局部连接EDAEDAEDA33快速加法器快速加法器,比较器和计数器比较器和计数器 FLEX 系列的进位链系列的进位链DFF进位输入进位输入(来自上一个逻辑单元来自上一个逻辑单元)S1LE1进位链进位链DFFS2L

    27、E2A1B1A2B2进位输出进位输出(到到 LAB中的下一个逻辑单元中的下一个逻辑单元)进位链进位链查找表查找表LUT查找表查找表LUT FLEX 系列的级联链系列的级联链性能优越性能优越,适合扇入大的逻辑功能适合扇入大的逻辑功能“与与”级联链级联链“或或”级联链级联链LUTLUTIN 3.0IN 4.7LUTIN(4n-1).4(n-1)LUTLUTIN 3.0IN 4.7LUTIN(4n-1).4(n-1)LE1LE2LEnLE1LE2LEn0.6 ns2.4 ns16位地址译码速度可达位地址译码速度可达 2.4+0.6x3=4.2 nsEDAEDAEDA35一系列行和列的连续式布线通道一

    28、系列行和列的连续式布线通道 快速通道互联快速通道互联行快速通道行快速通道4.2ns列快速通道列快速通道2.5ns 逻辑阵逻辑阵列块列块LAB局部快速通道局部快速通道0.5ns 逻辑单元逻辑单元LEEDAEDAEDA36 FLEX 10K 系列的系列的EAB 嵌入式阵列块嵌入式阵列块EAB(Embbeded Arry Block)可以配置为存储器或者逻辑函数可以配置为存储器或者逻辑函数1)当存储器使用时:一个当存储器使用时:一个EAB的容量为的容量为2048 bit的的RAM(2568、5124、10242、20481),可以多个),可以多个EAB组合成规模更大的存储器组合成规模更大的存储器2)

    29、实现复杂的逻辑功能。每个实现复杂的逻辑功能。每个EAB相当于相当于100300个等效门个等效门 实现存储器或者特殊的逻辑函数比单个的逻辑单元实现存储器或者特殊的逻辑函数比单个的逻辑单元(LE)更有效更有效LE嵌入式阵列嵌入式阵列逻辑阵列逻辑阵列LELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELELEEABEABEABEABEABEDAEDAEDA37EPF10K10/A36,144EPF10K40816,384EPF10K20612,288EPF10K30/A612,288EPF10K50/V1

    30、020,480EPF10K70918,432EPF10K100/A1224,576器器 件件 型型 号号EAB数量数量RAM 容量(容量(Bits)不同不同10K系列器件中的系列器件中的EAB配置配置 通过组合通过组合EAB 可以构成更大的模块。不需要额外的逻可以构成更大的模块。不需要额外的逻辑单元,不引入延迟,辑单元,不引入延迟,EAB 可配置为可配置为2048的存储器。的存储器。256x8512x41024x22048x1256x8256x8512x4512x4256x16512x8EAB 的字长是可配置的的字长是可配置的 EAB的大小灵活可变的大小灵活可变EDAEDAEDA39输出时钟D

    31、RAM/ROM256x8512x41024x22048x1DDD写脉冲电路输出宽度8,4,2,1 数据宽度8,4,2,1地址宽度 8,9,10,11 写使能输入时钟FLEX 10K 系列的系列的EABEDAEDAEDA40 存储器功能存储器功能 用作同步或者异步用作同步或者异步 RAM 单端口或者双端口单端口或者双端口 FIFO RAM 可用来实现动态硬件重配置可用来实现动态硬件重配置EAB的应用的应用 逻辑功能逻辑功能 配置时,配置时,EAB是可以预装的是可以预装的 实现一个大的查找表,尤其适用于快速乘法实现一个大的查找表,尤其适用于快速乘法器,器,状态机和算术逻辑单元等状态机和算术逻辑单元

    32、等EDAEDAEDA41FLEX10KE 系列的特点系列的特点 FIEX 10KE器件是采用器件是采用0.25 m的五层金的五层金属工艺制造,其核心工作电压为属工艺制造,其核心工作电压为2.5V,它是,它是FLEX 10K的改进型。的改进型。增加的新特性:增加的新特性:双倍RAM的嵌入式阵列块;150MHz FIFO性能的双口RAM;引脚可选择、有I/O箝位二极管;低功耗、1.0mm的BGA封装;多电压I/O操作,支持2.5V、3.3V和5V混合电压系统。EDAEDAEDA42EPF10K10/AEPF10K20EPF10K30/AEPF10K40EPF10K5/VEPF10K70EPF10K

    33、100/AEPF10K130VEPF10K250A10,00020,00030,00040,00050,00070,000100,000130,000250,0006,00012,00012,00016,00020,00018,00024,00032,00040,000存储器容量存储器容量(单位单位:Bit)典型可用门典型可用门EDAEDAEDA43199619971998FLEX 10K-5FLEX 10K-4FLEX 10K-3FLEX 10K-2FLEX 10KA-1性能更高的系统性能更高的系统FLEX 10KE-1FLEX高速性能发展高速性能发展EDAEDAEDA440 02 24 4

    34、6 68 8101019921992199319931994199419951995199619961997199719981998199919992000200020012001电压电压5.0 V3.3 V2.5 V1.8 V崩溃电压崩溃电压供电电压供电电压工艺改进促使供电电压降低工艺改进促使供电电压降低EDAEDAEDA45FPGA多电压兼容系统多电压兼容系统内核电压 3.3V、2.5V或 1.8V 接受 2.5V、3.3V 或者 5.0V 输入输出电位标准 VccioVCCINT:供内部电路工作和供输入缓冲器的电源;:供内部电路工作和供输入缓冲器的电源;VCCIO :I/O输出驱动器的电

    35、源。输出驱动器的电源。EDAEDAEDA46CPLD/FPGA芯片的形状芯片的形状EDAEDAEDA47CPLDCPLD和和FPGAFPGA的差别与特点的差别与特点1.CPLD1.CPLD和和FPGAFPGA的差别的差别在结构工艺方面在结构工艺方面 1)1)编程工艺不同编程工艺不同 CPLD EEPROMCPLD EEPROM和和FLASH/FPGA SRAMFLASH/FPGA SRAM2)2)逻辑单元不同逻辑单元不同 CPLD CPLD 乘积项乘积项/FPGA/FPGA 查找表查找表3)3)适用不同适用不同 CPLD CPLD 组合逻辑组合逻辑/FPGA/FPGA 时序逻辑时序逻辑规模和逻

    36、辑复杂度不同规模和逻辑复杂度不同 FPGA FPGA 比比CPLD CPLD 复杂度高复杂度高编程和配置编程和配置CPLD EPROMCPLD EPROM和和FLASH FLASH 编程次数达编程次数达1 1万次,系统断电后信息不丢失万次,系统断电后信息不丢失FPGA SRAM FPGA SRAM 任意次编程,实现快速编程实现板级和系统级的动态配置任意次编程,实现快速编程实现板级和系统级的动态配置(在线重配置的(在线重配置的PLDPLD或可重配置硬件);但系统断电后信息丢失,每或可重配置硬件);但系统断电后信息丢失,每次上电需从器件外部存储器重新写入次上电需从器件外部存储器重新写入SRAMSR

    37、AMCPLDCPLD的速度要比的速度要比FPGAFPGA快快CPLDCPLD的的I/OI/O引脚更多,尺寸更小引脚更多,尺寸更小 CPLDCPLD的功耗要比的功耗要比FPGAFPGA大,大,FPGAFPGA的集成度比的集成度比CPLDCPLD高,具有更复杂的布高,具有更复杂的布线结构和逻辑实现线结构和逻辑实现EDAEDAEDA48CPLDCPLD和和FPGAFPGA的特点的特点 CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。在编程上 FPGA比CPLD具有更大的灵活性。CPLD的速度比FPGA快,并且具有较大的时间可预测性。C

    38、PLD保密性好,FPGA保密性差。CPLD可让设备作出调整支持多种协议和标准,并随着协议和标准的改变而改变功能。EDAEDAEDA49编程与配置编程与配置 下载:将开发生成的编程文件加载到器件芯片中下载:将开发生成的编程文件加载到器件芯片中 编程:对编程:对CPLD的下载的下载/对对FPGA的其他下载的其他下载 配置:对配置:对FPGA的的SRAM 的直接下载的直接下载 ISP(在系统可编程在系统可编程):对器件对器件/电路板电路板/电子系统的逻辑功能可随时修电子系统的逻辑功能可随时修改或重构改或重构(CPLD 支持支持)ICR(在线可重配置在线可重配置):FPGA工作时需对片内工作时需对片内

    39、SRAM进行编程进行编程,在不在不掉电的情况下这些配置逻辑结构将会被保持掉电的情况下这些配置逻辑结构将会被保持 FPGA的配置方式的配置方式:主动配置主动配置(上电后上电后,自动将配置数据从相应的外存储器读到自动将配置数据从相应的外存储器读到SRAM 中中,实现内部结构映射实现内部结构映射 被动配置被动配置(外部计算机对外部计算机对FPGA片内片内SRAM的配置过程的配置过程)EDAEDAEDA50FPGAFPGA配置芯片配置芯片 配置配置EEPROMEEPROM用于配置用于配置SRAMSRAM工艺工艺FPGAFPGA的的EEPROMEEPROM,EPC2EPC2以上的芯以上的芯片可以用电缆多

    40、次擦写。下表是片可以用电缆多次擦写。下表是FPGAFPGA配置芯片(配置芯片(P136P136表表5.6.25.6.2 )EDAEDAEDA51CycloneIICycloneII:CycloneCyclone的下一代产品,的下一代产品,20052005年开始推出,年开始推出,90nm90nm工工艺,艺,1.2V1.2V内核供电,属于低成本内核供电,属于低成本FPGAFPGA,性能和,性能和CycloneCyclone相当,相当,提供了硬件乘法器单元。下表是提供了硬件乘法器单元。下表是Cyclone IICyclone II系列产品的主要系列产品的主要特性。特性。EDAEDAEDA52 Cyc

    41、loneCyclone专用配置器件专用配置器件,专门用于配置专门用于配置CycloneCyclone器件器件的的EEPROMEEPROM,可以用,可以用ByteblasterIIByteblasterII在线改写,电压为在线改写,电压为3.3V3.3V。下表是专门用于配置。下表是专门用于配置CycloneCyclone器件的器件的EEPROM EEPROM。EDAEDAEDA53 同步练习同步练习不丢失不丢失 2.利用EDA工具,设计者只需用 来完成对系统功能的描述 ,然后由计算机软件自动完成设计处理,得到PLD设计结果。4.基于EPROM、E2PROM和快闪存储器件的可编程器件,在系 统断电

    42、后编程信息 。1.可编程逻辑器件PLD属于 电路。3.高密度可编程逻辑器件HDPLD包括 、和 三种。半定制半定制 HDLEPLD FPGA CPLDEDAEDAEDA54 7.FPGA是20世纪80年代中期出现的 可编程逻辑器件。5.CPLD是从PAL、GAL发展起来的 PLD器件,它采用了 EPROM、EEPROM和快闪存储器等编程技术,具有高密度、高速度和低功耗等特点。6.CPLD和FPGA器件中至少包含 、和 三种结构。8.在系统可编程ISP是指对器件、电路板和整个电子系统的逻辑 功能可随时进行 或 的技术。9.边界扫描测试BST是由联合测试活动组织JTAG提出来的,主 要解决 的测试

    43、问题。高密度高密度 阵列式阵列式可编程逻辑宏可编程逻辑宏/可编程可编程I/O/可编程连线阵列可编程连线阵列高密度高密度重构重构修改修改芯片芯片EDAEDAEDA55 10.目前常见的可编程逻辑器件的编程和配置工艺包括基于 、基于 和基于 三种编程工艺。单项选择题:单项选择题:1.逻辑器件(逻辑器件()属于非用户定制电路。)属于非用户定制电路。A.逻辑门 B.GAL C.PROM D.PLA 2.不属于不属于PLD基本结构部分的是(基本结构部分的是()。)。A.与门阵列 B.或门阵列 C.与非门阵列 D.输入缓冲器反熔丝反熔丝/基于基于SRAM的查找表的查找表/EEPROMEDAEDAEDA56

    44、 4.PLA是指()。A.可编程逻辑阵列 B.可编程阵列逻辑 C.通用阵列逻辑 D.专用阵列逻辑 5.GAL是指()。A.可编程逻辑阵列 B.可编程阵列逻辑 C.通用阵列逻辑 D.专用阵列逻辑A.EPLD B.CPLD C.PAL D.FPGA 6.在下列可编程逻辑器件中,不属于高密度可编程逻辑器件 HDPLD的是()。3.在下列器件中,不属于PLD的器件是()。A.PROM B.PAL C.SRAM D.PLA答案答案:A C C A C CEDAEDAEDA57简答题:简答题:1.Altera器件有哪些类型?各自特点是什么?2.ISP的含义和优点是什么?3.CPLD和FPGA的区别是什么?各有什么特点?4.如何选择CPLD和FPGA?

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:可编程逻辑器件结构与原理课件.ppt
    链接地址:https://www.163wenku.com/p-3292253.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库