书签 分享 收藏 举报 版权申诉 / 489
上传文档赚钱

类型配套课件:电子技术基础.ppt

  • 上传人(卖家):三亚风情
  • 文档编号:3188084
  • 上传时间:2022-07-30
  • 格式:PPT
  • 页数:489
  • 大小:12.86MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《配套课件:电子技术基础.ppt》由用户(三亚风情)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    配套 课件 电子技术 基础
    资源描述:

    1、现代电子电路基础与实验2010年秋季课程基本信息p 课程名称:现代电子电路基础及实验p 课 程 号:00430132p 课程性质:主干基础课p 学时学分:理论课60学时,实验课2426学时 共5学分p 开课学期:理论课秋季 实验课春季p 先修课程:高等数学、普通物理电学部分课程目标p 掌握模拟电路和数字电路的组成、基本理论、性能特点和基本分析方法;p 具备简单电子技术的分析和设计能力;p 配合电子线路实验(非电类),培养分析和解 决实际问题的能力,为后续课程的学习打下坚 实的基础。课程特点p 技术基础课,不同于专业课,注重基本概念、基本原理和基本分析方法。电子技术发展迅速,课程内容不可能面面俱

    2、到,因此要注意分析问题和解决问题能力的培养,为今后的学习和工作打下基础。p 又不同于基础理论课,课程内容接近工程实际,常常采用抓住主要矛盾,忽略次要因素的方法。实践性很强,要注重实践环节和动手能力的培养,结合实验课,巩固和深化理论课知识。p 课程内容围绕电子信号的产生和处理所展开。教学内容理论课绪论 (1学时)第一章:电路基础知识 (3学时)第二章:半导体器件的特性(2学时+自学)第三章:放大电路 (12学时)第四章:反馈理论与反馈放大器 (4学时)习题+答疑(2学时)中考(2学时)11月3日第五章:集成运放的应用(7学时)第六章:直流稳压电源(3学时)第七章:数字电路基础(6学时)第八章:组

    3、合逻辑电路(4学时)第九章:时序逻辑电路 (6学时)第十章:脉冲电路(6学时)习题+答疑(2学时)教学内容实验课 实验一、常规实验仪器的使用实验二、单级放大器特性研究(一)实验三、放大器的频率特性研究(二)实验四、电流串联负反馈放大器的焊接与测试实验五、RC晶体管振荡器(*)实验六、集成运算放大器的应用实验七、计算机仿真分析实验实验八、多谐波振荡器与脉冲波形变换(*)实验九、TTL与非门的参数测量实验十、集成与非门在脉冲电路中的应用实验十一、555定时器的应用实验十二、十进制计数器实验十三、虚拟仪器实验(*)实验十四、综合测量系统成绩评定p 作业、平时考查:10%p 期中考试:30%p 期末考

    4、试:60%教师助教p 王志军 电话:6275-7494 Email: 办公室:理科2号楼2255室p 资源下载 北京大学教学网北京大学教学网 p 第一代第一代电子管电子管1904年,英国电气工程师弗莱明(J.Fleming)发明了世界上第一只电子管 真空二极管。1907年,美国科学家李德福雷斯特(Lee de Forest)在真空二极管中加 入金属栅网,使之成为具有放大作用的真空三极管(电子管),标志电子器件真正走向应用。德福雷斯特也被称为“电子管之父”。绪论电子技术的发展ENIAC电子数字积分机和计算机(Electronic Numerical Integrator and Computer

    5、),1946年2月研制成功。ENIAC占地170平方米,有8英尺高,3英尺宽,100英尺长,装有16种型号的18000个真空管、1500个电子继电器、70000个电阻器、18000个电容器,总重量30吨,耗电140-150KW。ENIAC有5种功能:每秒5000次加法运算;每秒50次乘法运算;平方和立方计算;sin和cos函数数值运算;其他更复杂的计算。绪论电子技术的发展p 第二代第二代晶体管:晶体管:巴丁布拉顿肖克利(19081991)(19021987)(19101989)晶体管装置(NPN Ge)1947年12月23日,工作于贝尔实验室的威廉肖克利(WBShockley)、沃尔特布拉顿(

    6、JBardeen)和约翰巴丁(WHBrattain),发明了第一只晶体管。1954年,硅晶体管开始广泛使用,晶体管收音机、电视机也陆续问世。电子管与晶体管第一台晶体管收音机(1955年)最早的晶体管电视机(1960年)绪论电子技术的发展p 第三代第三代集成电路:集成电路:1959年1月,德克萨斯仪器公司(TI)宣布发明集成电路,是以锗做的单晶片。同年7月,仙童公司(Fairchild)的罗伯特诺伊斯(NNoyce)发明了硅集成电路。1968年8月诺伊斯与负责研发的戈登摩尔和工艺开发专家安迪格罗夫离开仙童,创立了英特尔(Intel)公司。基尔比发明的集成电路诺依斯发明的集成电路Moore定律(1

    7、964年):每18个月芯片集成度(每平方毫米晶体管数)提高一倍,这个预测已为实践所证实。绪论电子技术的发展400480868018680286i386i486PentiumPentiumMMXCeleronPentiumIIIPentiumIV绪论电子技术的发展p 第四代第四代大规模、超大规模集成电路大规模、超大规模集成电路第一代CPU:Intel 4004具有代表性的是1971年英特尔公司推出的第一代中央处理器:4004,它在34毫米芯片面积上集成晶体管2250个。2002年1月,英特尔公司推出了64比特总线配置的Intel Pentium4 2.2G CPU,采用0.13微米铜布线工艺技术

    8、,在14.6平方毫米的表面积上集成了5500万个晶体管。Pentium III CPU显微照片绪论电子技术的发展p 高密度集成p 高频高速p 低压低耗p 可编程单片收音机硅单晶片与加工好的硅片绪论电子技术的发展物理量物理量(物理过程)(物理过程)模拟电量模拟电量(U、I)预处理预处理DSP显示结果显示结果传感器传感器前端电路前端电路计算机接口计算机接口数字信号数字信号A/D变换器变换器计算机计算机处理处理程序程序存储、处理存储、处理显示、控制显示、控制数字化仪器数字化仪器数据采集系统数据采集系统绪论典型电子测量系统电子测量仪器的发展按所采用的技术分按仪器结构和实现形式分模拟仪器数字化仪器智能仪

    9、器单台仪器模块化仪器虚拟仪器技术基础:微电子技术、数字信号处理技术、计算机技术电子仪器测量系统信号采集(包括传感器电路、信号调理电路)信号分析与处理结果表达与输出+绪论典型电子测量系统传传 统统 仪仪 器器虚虚 拟拟 仪仪 器器功能由仪器厂商定义功能由仪器厂商定义功能由用户自己定义功能由用户自己定义与其它仪器设备的连接十分有限与其它仪器设备的连接十分有限可方便地与网络外设及多种仪器连接可方便地与网络外设及多种仪器连接图形界面小,人工读取数据,信息量小图形界面小,人工读取数据,信息量小界面图形化,计算机直接读取数据并分析界面图形化,计算机直接读取数据并分析处理处理数据无法编辑数据无法编辑数据可编

    10、辑、存储、打印数据可编辑、存储、打印硬件是关键部分硬件是关键部分软件是关键部分软件是关键部分价格昂贵价格昂贵价格低廉,仅是传统仪器的五至十分之一价格低廉,仅是传统仪器的五至十分之一系统封闭、功能固定、可扩展性差系统封闭、功能固定、可扩展性差基于计算机技术开放的功能模块可构成多基于计算机技术开放的功能模块可构成多种仪器种仪器技术更新慢技术更新慢技术更新快技术更新快开发和维护费用高开发和维护费用高基于软件体系的结构可大大节省开发费用基于软件体系的结构可大大节省开发费用绪论虚拟仪器绪论虚拟仪器R2Vo00C2R1R1C3Vi+-NE553232184C100R3C1+-NE553232184+-NE

    11、553232184R3+-NE553232184R2C2C3绪论电路设计仿真第一章:电路基础知识 p电子信号及频谱(signals and spectrum)p理想电路元件(circuit elements)p电压源与电流源(voltage and current sources)p线性网络定律(linear network theorem)p双口网络(two-port networks)pRC电路分析(RC circuits)1.1 电子信号及频谱1.1-1 电子信号1.模拟信号和数字信号(analog and digital signals)tt模拟信号:幅值连续数字信号:幅值离散 时间连

    12、续 时间离散UU2.正弦信号(sinusoidal signals))sin()2sin(tAftAUAUAUrmspp212UtAf21f1Peak-to-peak:Root-mean-square:Period:T=f11.1 电子信号及频谱3.其它信号(other signals)t三角波(triangle)t锯齿波(sawtooth wave)t方波(square waves)UUUt阶跃信号(step signal)U1.1 电子信号及频谱3.其它信号(other signals)脉冲(pulses)Positive-goingNegative-going rise time:fal

    13、l time:Duty cycle:/Tpulse width:Tt10%50%90%rtftTrtft1.1 电子信号及频谱 1.1-2 频谱1.周期信号(periodic signals)任何周期函数,满足狄里赫利条件,可以展开为傅里叶级数:10)cos()(nnntnAAtf函数由下列分量部分组成:(1)直流分量:(2)基波分量:(3)谐波分量:n=2,3,4.各项函数包含的各种分量成分成为 的频谱,全体 称为振幅谱,全体 称为相位谱。)(tfnAn0A)cos(11tA1.1 电子信号及频谱例:周期对称方波之频谱f(t)tUmT-T傅里叶级数:.)5cos(51)3cos(31)cos

    14、(2)(tttUtfmw357振幅谱|An|w357n相位谱1.1 电子信号及频谱2.非周期信号(non-periodic signals)dtetfFtj)()(傅里叶逆变换:deFtftj)(21)(1.1 电子信号及频谱傅里叶正变换:非周期信号的频谱为连续频谱,可采用傅里叶变换得到。频谱表示方法使用频谱密度(单位频带的频谱值)函数:F(w)例:矩形脉冲信号的频谱:Um)(tft22wF(w)mU24)2()2()(tutuUtfm)2(2)2sin()(SaUUFmm1.1 电子信号及频谱 电路元件:电阻器、电容器、电感器等 电路器件:二极管、三极管、集成运算放大器等p 电阻器(resi

    15、stors)IUR欧姆定律(ohms law):R=U/I功率消耗:P=UI.321RRRRSeries:Parallel:.1111321RRRRResistances:0.01 ohm 1012 ohmsPower ratings:1/8 watt 250 wattAccuracies:0.005%20%1.2 理想电路元件p 电容器(capacitors)通高频、阻低频IUC表达式:.321CCCCSeries:Parallel:.1111321CCCCCapacitances:0.5 pF(picofarads)10 F(farads)dtdUCI 1.2 理想电路元件p 电感器(in

    16、ductors)通低频、阻高频IUL表达式:.321LLLLSeries:Parallel:.1111321LLLLInductance is measured in henrys(or mH,uH,etc.)dtdILU 1.2 理想电路元件1.3-1 电压源 理想电压源的两端电压 U(t)与流过它的电流无关 源电压为0的理想电压源等效于理想的短路线 实际电压源等效于理想电压源与内阻Rs串联 内阻Rs越小,电压源质量越高 U(t)=Us-I(t)RsUsU(t)Us=0UsU(t)RsI(t)理想电压源 Us=0的理想电压源 实际电压源1.3 电压源与电流源1.3-2 电流源 理想电流源的电

    17、流I(t)与其两端电压无关 源电流为0的理想电流源等效于开路端子 实际电流源等效于理想电流源与内阻Rs并联 内阻Rs越大,电流源质量越高 I(t)=Is-U(t)/RsIsV(t)Is=0理想电流源 Is=0的理想电流源实际电流源IsU(t)RsI(t)I(t)1.3 电压源与电流源1.3-3 受控源(controlled sources)受控源 非独立源 A:电压增益Ai:电流增益 g:跨电导 r:跨电阻 四种理想放大器模型电压控制电压源 电压控制电流源AUiUigUiUirIiIiAiIiIi电流控制电压源 电流控制电流源1.3 电压源与电流源1.4-1 基尔霍夫定律(Kirchhoffs

    18、 laws)网络:电路的泛称,线性元件组成线性网络 端口、节点(n)支路(b)、回路(loop)基尔霍夫电流定律(KCL)任一时刻,任一节点各支路流出(或流入)的瞬时电流总和为 0 基尔霍夫电压定律(KVL)任一时刻,沿任一回路的绕向计算,回路上各支路电压之和为 0b1b2b5b6b4b3n3n1n4n2loopNkbkI10NkbkU101.4 线性网络定律 例:求电路中回路电流 I1 loop1:Z1I1+Z2(I1-I2)-E1=0 loop2:Z2(I2-I1)+rI1+Z3I2+E2=0 (Z2+Z3)E1+Z2 E2 I1=(Z1+Z2)(Z2+Z3)+Z2(r-Z2)Z3Z2E1

    19、Z1I1loop1E2rI1loop21.4 线性网络定律作业题:P27:1-5第1章:电路基础知识第一章:电路基础知识 p电子信号及频谱(signals and spectrum)p理想电路元件(circuit elements)p电压源与电流源(voltage and current sources)p线性网络定律(linear network theorem)p双口网络(two-port networks)pRC电路分析(RC circuits)1.4-2 独立源叠加定理(superposition theorem)p 线性网络中任一支路上的电压或电流,任一节点的电压 或回路电流,等于网

    20、络中每一个独立源单独作用产生的 效果之和。Z3 Z2 EZ1IZ3 Z2 E=0Z1IZ3 Z2 EZ1I=0I3I3I3”Z3I3=I3+I3”=(I+Z1 E)Z1+Z2+Z31.4 线性网络定律1.4-3 等效电源定理p 戴文宁定理(Thevenins theorem)任一有源单口(二端)线性网络,可用一个理想电压源 串联一个阻抗来代替,称为戴文宁等效电路。p 理想电压源的电压等于该网络端口的开路电压,等效阻 抗等于该网络中全部独立源为零值时从端口看进去的阻抗。p 诺顿定理(Nortons theorem)任一有源单口线性网络,可用一个理想电流源并联一个 阻抗来代替,称为诺顿等效电路。p

    21、 理想电流源的电流等于该网络端口的短路电流,等效阻抗 等于该网络中全部独立源为零值时从端口看进去的阻抗。1.4 线性网络定律例:右图电路中,例:右图电路中,求戴维宁等效电路求戴维宁等效电路求开路电压求开路电压 :Su1i1R2R175.0iVuS40 kR51kR2022i11275.0iiiocuSuiRiR2211ViRuoc3522求等效电阻求等效电阻 :oR0Su1i1R2R175.0i2iUI11/RUi22/RUi 075.0211iiiIkIURo5.2/1.4 线性网络定律1.5-1 双口网络p 任何复杂大网络可分解为N1、N2单口和N双口网络p 输入端口:U1、I1p 输出端

    22、口:U2、I2p 使用网络参量来描述双口网络特性,U1、U2、I1、I2中两个为自变量,两个为因变量,可构成4种网络参量:Z、Y、H和G。信号源(单口)放大器(双口)负载(单口)UsI1I1RsRLI2I2U1U2 N 放大器放大器N1N21.5 双口网络1.5-2 Z 参量 I1、I2 为自变量,U1、U2为因变量 网络方程:U1=Z11 I1+Z12 I2U2=Z21 I1+Z22 I2p Z11=U1/I1(I2=0):输出端开路时的输入阻抗p Z12=U1/I2(I1=0):输入端开路时的反向转移阻抗p Z21=U2/I1(I2=0):输出端开路时的正向转移阻抗p Z22=U2/I2(

    23、I1=0):输入端开路时的输出阻抗p Z 参量等效电路:I1I2U1U2ZI1I1I2I2Z12I2Z12I2Z22Z22Z11Z11Z21I1Z21I1U2U2U1U11.5 双口网络1.5-3 Y 参量 U1、U2为自变量,I1、I2为因变量 网络方程:I1=Y11 U1+Y12 U2I2=Y21 U1+Y22 U2p Y11=I1/U1(U2=0):输出端短路时的输入导纳p Y12=I1/U2(U1=0):输入端短路时的正向转移导纳p Y21=I2/U1(U2=0):输出端短路时的反向转移导纳p Y22=I2/U2(U1=0):输入端短路时的输出导纳p Y 参量等效电路:I1I2U1U2

    24、YI1I1I2I2Y12U2Y12U21/Y221/Y221/Y111/Y11Y21U1Y21U1U2U2U1U11.5 双口网络1.5-4 H 参量 I1、U2为自变量,U1、I2为因变量 网络方程:U1=H11 I1+H12 U2I2=H21 I1+H22 U2p H11=U1/I1(U2=0):输出端短路时的输入阻抗p H12=U1/U2(I1=0):输入端开路时的反向电压传输系数p H21=I2/I1(U2=0):输出端短路时的正向电流传输系数p H22=I2/U2(I1=0):输入端开路时的输出导纳p 分析晶体管放大电路时 常采用H参量电路I1I2U1U2HI1I1I2I2H12U2

    25、H12U2H11H111/H211/H21H21I1H21I1U2U2U1U11.5 双口网络1.5-5 G 参量 U1、I2为自变量,I1、U2为因变量 网络方程:I1=G11 U1+G12 I2U2=G21 U1+G22 I2p G11=I1/U1(I2=0):输出端开路时的输入导纳p G12=I1/I2(U1=0):输入端短路时的反向电流传输系数p G21=U2/U1(I2=0):输出端开路时的正向电压传输系数p G22=U2/I2(U1=0):输入端短路时的输出阻抗p G 参量等效电路:I1I2U1U2GI1I1I2I2G12I2G12I2G22G221/G111/G11G21U1G2

    26、1U1U2U2U1U11.5 双口网络1.5-6 网络函数(network function)p 激励(excitation)与响应(response)p 网络函数为激励与响应之比H=响应/激励网络函数分类:p 激励与响应在同一端口称为策动点(driving point)函数p 激励与响应不在同一端口称为转移(transfer)函数激励激励响应响应H响应 激励 名称策动点函数策动点函数 电流电流 电压电压 策动点导纳策动点导纳 电压电压 电流电流 策动点阻抗(策动点阻抗(ZiZi、ZoZo)电流电流 电压电压 转移导纳转移导纳转移函数转移函数 电压电压 电流电流 转移阻抗转移阻抗 电流电流 电

    27、流电流 电流传输系数(电流传输系数(KiKi)电压电压 电压电压 电压传输系数(电压传输系数(KuKu)1.5 双口网络例:右图所示电路:求:电压传输系数 K=Uo/Us 列出节点方程:(Us-U1)/1=(U1-Uo)/2+U1/2(U1-Uo)/2+2U1=Uo/1K=Uo/Us=10/7U1212U1UoUs21I1.5 双口网络1.6-1 重要概念p 电路分为:即时响应的电阻电路 带有储能元件C、L的动态电路(dynamic circuits)p 电容电压不可突变,有隔直流、通交流功能p 电感电流不可突变,有隔交流、通直流功能p 电路不处于暂态(transient state),就处于

    28、稳态(steady state)p 工作状态的变化过程称为过度(transition)过程p 电路的全响应(complete response)分为:零输入响应(zero input response)-Us(t=0)=0零状态响应(zero state response)-Uc(0)=0UsRC1.6 RC电路分析1.6-2 零输入响应(zero input response)t=0时的等效电路中:RCUc(0)RCUc(0)I IUc0UcRIdtdUcCUcUcdtdCI)0(0UcdtdUcRCRCteUctUc)0()(RCteRUcdtdUcCtI)0()(1.6 RC电路分析1.

    29、6-2 零输入响应(zero input response)Uc(t)t2340.380.02Uc(0)tI(t)-Uc(0)/Rp 电压、电流按指数规律变化p 变化速度由时间常数 =RC决定p 上升/下降时间为 2.2p 暂态过程持续时间为 4p 再次稳态时 Uc=0,I=0,放电结束1.6 RC电路分析1.6-3 零状态响应(zero state response)电路中电容电压为 Uc(0)=0,t=0时加入直流 UsUsRCI IUcUsUcRIdtdUcCI UsUcdtdUcRC)1()(RCteUstUcRCteRUsdtdUcCtI)(Uc(t)2340.630.98Ustp

    30、再次稳态时 Uc=Us,I=0 电容充电结束I(t)2340.380.02tUs/R1.6 RC电路分析1.6-4 全响应(complete response)电路中电容电压为 Uc(0),t=0时加入直流 Us全响应=零状态响应+零输入响应(叠加定理)UsRCI IUcRCtRCteUceUstUc)0()1()(Uc(0)p 对响应是按指数律变化的一阶电路,可使用三要素法(观察法:inspection method)对任一变量的响应直接求解p 三要素:变量的初始值X(0)、稳态值X()和时间常数p 变量的全响应为:teXXXtX)()0()()(1.6 RC电路分析例:t=0时刻打开开关,

    31、求 Uc(t)和I(t)t=0-时:Uc(0-)=6V I(0-)=0mAt=0+时:Uc(0+)=6V I(0+)=0.2mAt=时:Uc()=10V I()=0mA时间常数:=2mS10V20K C0.1uFI(t)I(t)30K10V20K C0.1uFI(t)I(t)30K10V20K C0.1uFI(t)I(t)t=0-t=0+teUcUcUctUc)()0()()(Vet)410(2mAetIt22.0)(稳态响应稳态响应 暂态响应暂态响应1.6 RC电路分析1.6-5 微分器(differentiators)Ui(t)RtUtUtUidtdCtI)()()()(U(t)CRI(t

    32、)I(t)当 =RC非常小时,Ui(t)U(t)()(tUidtdRCtUUiUmttwV(t)UmtV(t)Umtp 对输入矩形脉冲正跳变:tmeUtU)(零状态响应零状态响应p 对输入矩形脉冲负跳变:twtmeUtU)(零输入响应零输入响应p 当 =RC非常大时,耦合电路tw U(t)dttUiRCtU)(1)(UiUmttwViUmttwp 对输入矩形脉冲正跳变:零状态响应零状态响应p 对输入矩形脉冲负跳变:零输入响应零输入响应)1()(tmeUtUwwtttmeeUtU)1()(1.6 RC电路分析习题:P27 1-6P27 1-9P28 1-12第1章:电路基础知识第2章:半导体器件

    33、 p 半导体基本知识(semiconductors)p PN结及二极管(PN junction and diode)p 双极型晶体管(bipolar junction transistor)p 线性:resistors,capacitors,inductorsp 非线性:semiconductorsp 导体:绝缘体:p 介于导体和绝缘体之间的称为半导体p 硅(Si,原子序号14)、锗(Ge,原子序号32)p 二者共同点:最外层轨道上有4个电子(价电子)p 价电子决定着物质的物理和化学性质p 将原子核和内层电子看作一个带有+4电荷的正离子p 一个4价元素的原子由惯性核与4个价电子来表示cm410

    34、cm910+42.1 半导体基本知识2.1-1 本征半导体(pure semiconductor)p 硅(或锗)的晶体,相邻原子共有一对价电子:共价键p 半导体的导电能力与温度有很大关系p 温度升高时,价电子摆脱共价键束缚成为自由电子p 电子 空穴对p 载流子+4+4+4+4+4+4+4+4+4+4+4+4+4+4+4+4+4+4共价键价电子空穴空穴自由电子自由电子2.1 半导体基本知识2.1-2 杂质半导体(mixed semiconductor)一、N型半导体p 4价晶体中掺入5价元素(如:磷)-施主杂质p 组成共价键后多余出一个电子p 该电子不受共价键束缚,自由电子p 电子型半导体(N型

    35、)p 多数载流子 电子+4+4+4+4+4+4+4+4+5+5+4+4+4+4+4+4+4+4自由电子自由电子施主原子施主原子2.1 半导体基本知识2.1-2 杂质半导体(mixed semiconductor)二、P型半导体p 4价晶体中掺入3价元素(如:硼)-受主杂质p 组成共价键后缺少一个电子而形成空穴p 空穴型半导体(P型)p 多数载流子 空穴p 多子浓度不受温度影响+4+4+4+4+3+4+4+4+4空穴空穴受主原子受主原子2.1 半导体基本知识2.2-1 PN结及其单向导电特性p PN结:各种半导体器件的基础p 将P型和N型制作在同一半导体上,交界处:PN结p 浓度高区向浓度低区运

    36、动:扩散运动p P区负离子区,N区正离子区:空间电荷区 耗尽层p 产生电位差Uho-电位壁垒(硅:0.7V,锗:0.2V)p 电场产生漂移运动p 扩散运动与漂移运动达到动态平衡P P区区 N N区区空间电荷区空间电荷区 耗尽层耗尽层P P区区 N N区区空穴空穴 负离子负离子 正离子正离子 自由电子自由电子Uho2.2 PN结及二极管2.2-1 PN结及其单向导电特性p PN结加正向电压:P接正极,N接负极p 外电场将多数载流子推向空间电荷区,变窄p 扩散运动加剧,漂移运动减弱p PN结导通,结压降为零点几伏p 限流电阻P P区区 N N区区 空间空间电荷区电荷区Uho 外电场外电场 RV2.

    37、2 PN结及二极管2.2-1 PN结及其单向导电特性p PN结加反向电压:N接正极,P接负极p 外电场增强了内电场,使空间电荷区变宽p 阻止扩散运动,加强漂移运动p 形成非常小的漂移电流p PN结截止P P区区 N N区区 空间电荷区空间电荷区Uho 外电场外电场 RV2.2 PN结及二极管2.2-2 PN结的伏安特性 PN结电流方程p 正向特性U较小时,正向电流几乎为0 死区U大于死区电压时,正向电流随电压指数增加p 反向特性反向电压U小于UBR时,反向饱和电流Is很小反向电压U大于UBR时,反向电流急剧增大 击穿UBR 反向击穿电压 雪崩击穿:碰撞电离 齐纳击穿:直接将价电子摆脱共价键束缚

    38、)1(/TUUSeIIIsU UBRBRIU U正向特性正向特性反向特性反向特性死区死区2.2 PN结及二极管2.2-3 PN结的电容效应p PN结中的电荷量随外加电压变化而变化 电容的充放电p 势垒电容Cb:空间电荷区的结电容p 扩散电容Cd:多数载流子扩散过程积累p PN结电容Cj=Cb+Cd,正向约为Cd,反向约为Cbp PN结电容量级:pF几百pF,低频可忽略P区区 N区区 L+Dl L Q dQ RVCbV2.2 PN结及二极管2.2-4 二极管的结构p 点接触型:电流小,结电容小,高频p 面结合型:电流大,结电容大,低频p 平面型:扩散法制造,结面积有大有小2.2 PN结及二极管2

    39、.2-5 二极管的特性p 实际二极管存在电阻:正向电流小于PN结电流p 存在漏电流:反向电流大于PN结电流p 开启电压UON:Si:0.5V,Ge:0.1Vp 导通电压UD:Si:0.7V,Ge:0.2Vp 反向饱和电流:Si:0.1uA,Ge:0.1mAp 反向击穿电压UBR:几十V几千Vp 温度影响p 二极管主要参数最大整流电流IF:长期工作时容许的最大正向平均电流最高反向工作电压 UR:最大反向工作电压(1/2 UBR)反向电流IR:越小越好,受温度影响大最高工作频率FM:工作的上限频率IsUBRI IU U正向特性正向特性反向特性反向特性UON2.2 PN结及二极管2.2-6 二极管的

    40、等效电路-直流通路:2.2 PN结及二极管p 理想模型最简单,但误差最大,当Ui远大于UD时采用;p 折线模型误差最小,但最复杂,精确计算时采用;p 恒压降模型最常用。理想模型UI0恒压降模型UI0UD UI0UDUI折线模型U UD DU UD D R RD D2.2-6 二极管的等效电路 交流通路:2.2 PN结及二极管p 近似可认为:rd微变等效电路只考虑二极管两端的电压在某一固定值附近作微小变化时引起的电流变化,可用曲线在该固定值处的切线来近似表示这一小段曲线,而将二极管等效成一个微变等效电阻rdUI0UI0drTUUTSUUSdUIeUIdUeIddUdIUIrTT)1(1 例:UD

    41、=0.7V,估算开关断开和闭合时的Uo开关断开时,二极管导通:Uo=V1-UD=5.3V开关闭合时,二极管截止:Uo=V2=12VUoRDV16VV212V2.2 PN结及二极管2.2-7 二极管的应用整流电路(rectification)p 将交流电压变为直流电压p 正弦波的正半周通过p 偏移电压:U1-U2=UDp 正弦波的负半周截止p 半波整流UoRDAC UacUottU2U12.2 PN结及二极管2.2-7 二极管的应用 整形电路p 削波(限幅)电路p 防止输出电压超过给定值UstUotV1V2UoRD1UsV1D2V2Us(V2UsV1)V2(UsIZMIN (8-5)/R 30

    42、5 R 86Us最大且IL最小时,IZ最大,应该有:IZIZMAX (10-5)/R 0 0.05UsRDI IL L2.2 PN结及二极管双极型晶体管(晶体三极管、半导体三极管)小功率管 中功率管 大功率管2.3 双极型晶体管2.3-1 晶体管的结构p 两个PN结、三个电极p 平面型(NPN)、合金型(PNP)P N N C E B N N P 发射极发射极E 集电极集电极C 基极基极B 集电结集电结 发射结发射结 集电区集电区 基区基区 发射区发射区 B E CC EB E BC NPP P P NECB BE CC E B2.3 双极型晶体管2.3-2 晶体管电流放大作用 晶体管内部载流

    43、子的运动(NPN共发射极为例)p 发射区高搀杂、基区薄、外加电压p 发射过程:发射结正偏压,形成发射极电流IEp 复合和扩散过程:电子向集电结扩散,与基区空穴复合形成基极电流IBp 收集过程:集电结反偏压,形成集电极电流IC和反向截止电流ICBORcRbI IB BI IE EI IC CI ICNCNI ICBOCBOI IBNBNI IEPEPI IENEN2.3 双极型晶体管2.3-2 晶体管电流放大作用晶体管的电流分配关系(共发射极)I IE E=I=IENEN+I+IEPEP=I=ICNCN+I+IBNBN+I+IEPEPI IC=ICN+ICBOC=ICN+ICBOI IB B=I

    44、=IBNBN+I+IEPEP-I-ICBOCBOI IE E=I=IC C+I+IB B共射电流放大系数:h hFEFE=I=ICNCN/(I IBNBN+I+IEPEP)=(I IC C-I-ICBOCBO)/(I IB B+I+ICBOCBO)由于:由于:IcIIcIB BIICBOCBOBFECIhI I IB BI IE EI IC CI ICNCNI ICBOCBOI IBNBNI IEPEPI IENENBFEEIhI)1(2.3 双极型晶体管2.3-2 晶体管电流放大作用晶体管的电流分配关系(共基极)I IE E=I=IENEN+I+IEPEP=I=ICNCN+I+IBNBN+I

    45、+IEPEPI IC C=I=ICNCN+I+ICBOCBOI IB B=I=IBNBN+I+IEPEP-I-ICBOCBOI IE E=I=IC C+I+IB B共基电流放大系数:h hFBFB=I=ICNCN/I/IE E =(I =(IC C-I-ICBOCBO)/I)/IE E由于:由于:I IC CIICBOCBOEFBCIhI I IB BI IE EI IC CI ICNCNI ICBOCBOI IBNBNI IEPEPI IENENEFBBIhI)1(FBFBFEhhh12.3 双极型晶体管2.3-3 晶体管共射特性曲线 输入特性曲线 UCE一定,基极电流IB与发射结电压 UB

    46、E之间的函数关系p UCE=0时,基极与发射极间相当于两个PN结并联C EBU UBEBEI IB BU UCECE=0=0C EBU UBEBEI IB Bp 0UCEUBE时,集电结反向偏置,收集电子能力强,曲线再次右移p UCE1V时,曲线重叠I IB BU UBEBEU UCE=0CE=00.5V0.5V1V1V2.3 双极型晶体管2.3-3 晶体管共射特性曲线 输出特性曲线 IB一定,集电极电流IC与管压降UCE之间的函数关系p 每一个确定的IB对应一条输出曲线p UCE从小逐渐增大,集电结电场增强,IC增大;UCE再增大,IC基本不变p 截止区(UBE UON 且UCE UBE)发

    47、射结正向偏置,集电结反向偏置IC=hFE*IBp 饱和区(UBE UON 且UCE 1:深度负反馈(|1+A*F|A*F|)p|1+A*F|1:正反馈p|1+A*F|=0:自激振荡器4.1 反馈的基本概念与分类习题:P157 4-24.1 反馈的基本概念与分类第4章:放大电路的反馈 p 反馈的基本概念与分类 (introduction to feedback)p 负反馈对放大器性能的改善 (negative feedback)p 负反馈放大器的分析方法 (negative feedback amplifier)l 负反馈放大器的自激振荡 (self-oscillation)4.2-1 提高放大

    48、倍数的稳定性(gain)FAAAF1在中频段:2)1(FAdAdAFAdAFAAdAFF.11p 闭环放大倍数的相对变化量仅为开环的(1+AF)分之一;p AF的稳定性是A的(1+AF)倍;代价是:AF 仅为A的(1+AF)分之一;p 对深度负反馈:AF1/F AF 仅决定于反馈网络,可获得更好的稳定性4.2 负反馈对放大器性能的改善4.2-2 减小非线性失真(non-linear distortion)UIUoAFUDUFAUIUoUD=UI-UFp 定量分析:开环时:Uo=A*UI+Uo2 A*UI为基波;Uo2为谐波 闭环时:UoF=A*UD+Uo2F A*UD为基波;Uo2F为谐波 闭

    49、环时增大UI,使得UD等于开环时的UI Uo2F=Uo2-A*F*Uo2F Uo2F=Uo2/(1+A*F)p 负反馈只能减小由于电路内部产生的非线性失真4.2 负反馈对放大器性能的改善4.2-3 展宽频带(bandwidth)p 高频段的放大倍数:HMHffjAA1无反馈 有反馈HMMMHHHFfFAfjFAAFAAA)1(111FAAAMMMF1HMHFfFAf)1(p 同理,低频段:)1/(FAffMLLFp 通频带:)1(FABWBWMFp 增益-带宽积:BWABWAMFMF.20lg|AM|20lg|AMF|fLFfLfHfHF4.2 负反馈对放大器性能的改善电压串联负反馈电路中,基

    50、本放大电路的开环放大倍数为:AM=,上限频率:fH=7Hz,下限频率:fL=0;引入反馈后,闭环放大倍数为:AMF=10。510p 反馈深度:(1+AM*F)=AM/AMF=p 若AM的相对变化量为10%AMF的相对变化量为:10%/=0.001%p 负反馈放大电路的通频带:BWF=fHF=*7=70kHz4104104104.2 负反馈对放大器性能的改善4.2-4 改变输入电阻和输出电阻(input and output resistance)p 串联负反馈增大输入电阻:RI=UD/II RIF=UI/II=(UD+UF)/II UF=A*F*UD RIF=(1+A*F)RI电压串联:RIF

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:配套课件:电子技术基础.ppt
    链接地址:https://www.163wenku.com/p-3188084.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库