书签 分享 收藏 举报 版权申诉 / 25
上传文档赚钱

类型基于VHDL的数据采集系统设计(大学生自作PPT)课件.ppt

  • 上传人(卖家):三亚风情
  • 文档编号:2855618
  • 上传时间:2022-06-05
  • 格式:PPT
  • 页数:25
  • 大小:4.32MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《基于VHDL的数据采集系统设计(大学生自作PPT)课件.ppt》由用户(三亚风情)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    基于 VHDL 数据 采集 系统 设计 大学生 PPT 课件
    资源描述:

    1、 基于EDA的数据采集系统设计 主讲: 陈秦德 内容摘要内容摘要1 数据采集系统数据采集系统2 数据采集系统的设计思路数据采集系统的设计思路 3 数据采集系统各模块设计数据采集系统各模块设计 4 实物展示实物展示5 小结小结1 数据采集系统的功能8路通道信号输入循环检测报警模式数据处理模式功能一模式一模式二超出预设值报警显示超出规定的通道数未超出预设值未超出预设值控制单元功能二功能三不变缩小1/2放大2倍信号输出2 数据采集系统的设计思路数据采集系统示意图A/D转换D/A转换控制核心显示模拟输入模拟输出2.1 数据输入单元ADC0809介绍ADC0809引脚图CLOCK:时钟信号输入引脚,通常

    2、使用500KHz EOC:转换结束信号,为0代表正在转换,1代表转换结束 D0D7:数据输出线START:转换启动信号 ALE:地址锁存允许信号ADDAADDC:地址线用于选择模拟量输入通道IN0IN7:8路模拟量输入通道OE:输出允许信号,低电平允许转换结果输出 Vcc:5V电压 接线图此电路图主要实现将八路输入模拟信号转换为数字信号,为数据处理及监控模块提供输入信号。2.2 数据输出单元数据输出单元此模块设计所使用的芯片是DAC0832,它的接线图如图所示。图中D0D7为数字量信号输入通道,运放本身主要实现将信号放大2倍的功能。2.3 数据处理单元数据采集系统总体框图k1=0, =循环检测

    3、报警模式 k1=1,=数据采集及处理模式fun=00,=放大2 倍,fun=01=缩小1/2,fun=10或11,=不处理。k3选择ADC0809的八路中的一路。d7.0接ADC0809的数据端,q7.0接DAC0832的数据端,sel2.0接ADC0809的通道选择,seg6.0接数码管CONTROLCH21DISP3. 数据采集系统各模块设计控制模块:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity control is Port(d:in std_logic_vector(7 d

    4、ownto 0); Clk,k1:in std_logic;Fun:in std_logic_vector(1 downto 0);Sel:out std_logic_vector(2 downto 0);Q:out std_logic_vector(7 downto 0);Alm:out std_logic);End control;Architecture bhv of control isBegin Process(clk)Variable x:std_logic;Variable cnt:std_logic_vector(2 downto 0);BeginIf clkevent and

    5、 clk=1thenIf k1=0then -循环检测模式 If x=0then Sel”10000000”then -常数决定电压超过几伏时报警alm=1; -改变常数可改变设置电压 Else alm=0;X:=0;end if;End if;Else if fun=”00” then -直接将数据送出,因为已经实现了增大到2倍,所以得到的电压为2倍Q=d;elseif fun=”01 ” -缩小到1/2,通过将七位二进制数右移两位来实现缩小四倍。then q=0&0&d(7 downto 2);else -对数据不做处理q=0&d(7 downto 1);End if;End if;End

    6、 if;End process;End bhv;控制模块图CONTROL二选一模块:Library ieee;Use ieee.std_logic_1164.all;Entity ch21 is Port(a,b:in std_logic_vector(2 downto 0);S:in std_logic;Q:out std_logic_vector(2 downto 0);End ch21; Architecture bhv of ch21 isBegin process(s,a,b) Begin 二选一模块CH if s=0then q=a; else q=b;end if;End pro

    7、cess;End bhv;CH21显示模块:Library ieee;Use ieee.std_logic_1164.all;Entity disp is Port(d:in std_logic_vector(2 downto 0); clk:in std_logic; q:out std_logic_vector(6 downto 0);end disp;Architecture bhv of disp isbegin process(clk) variable x:std_logic; variable tmp:std_logic_vector(2 downto 0); variable

    8、cnt:integer range 0 to 3; begin if clkevent and clk=1then if x=0then tmp:=d; x:=1; else if cntqqqqqqqqq=”0000000”;end case;else q=”0000000”;-若没有通道超过设置电压,则不显示end if;x:=0; end if;end if;end if;end process;end bhv;显示模块DISPDISP使用使用LED显示器时,要注意区分这两种不同的接法。为了显示数字或字符,显示器时,要注意区分这两种不同的接法。为了显示数字或字符,必须对数字或字符进行编码

    9、。七段数码管加上一个小数点,共计必须对数字或字符进行编码。七段数码管加上一个小数点,共计8段。因此为段。因此为LED显示器提供的编码正好是一个字节。显示器提供的编码正好是一个字节。TXTX实验板用共阴实验板用共阴LED显示器,根据显示器,根据电路连接图显示电路连接图显示16进制数的编码已列在下表。进制数的编码已列在下表。abcdegGNDfdpGNDabcefgddpabcdefgdpdpgfedcba5V(a)(b) 共阴数码管码表共阴数码管码表 0 x3f , 0 x06 , 0 x5b , 0 x4f , 0 x66 , 0 x6d , 0 1 2 3 4 5 0 x7d , 0 x07

    10、 , 0 x7f , 0 x6f , 0 x77 , 0 x7c , 6 7 8 9 A B 0 x39 , 0 x5e , 0 x79 , 0 x71 , 0 x00 C D E F 无显示仿真结果仿真结果 fun=00fun=01fun=11k1=03.4 EDA开发流程-总流程图3.4 EDA开发流程-设计输入原理图原理图VHDL文文本编辑本编辑缺点优点3.4 EDA开发流程-综合与适配将设计输入文件,依据给定的硬件结构组件和约束将设计输入文件,依据给定的硬件结构组件和约束条件进行条件进行编译编译(编译过程中首先进行语法检查,例查原(编译过程中首先进行语法检查,例查原理图有无漏连信号线,

    11、文本输入文件中关键字有无错误理图有无漏连信号线,文本输入文件中关键字有无错误等各种语法错误;然后进行设计规则检验,如检查总的等各种语法错误;然后进行设计规则检验,如检查总的设计有无超过器件资源状况)、设计有无超过器件资源状况)、优化优化(使设计方案的运(使设计方案的运行速度最快,所占用资源最少)、行速度最快,所占用资源最少)、转换和综合转换和综合(逻辑综(逻辑综合),最终得到最底层的电路网表文件。合),最终得到最底层的电路网表文件。用综合产生的网表文件对指定的目标器件进行用综合产生的网表文件对指定的目标器件进行适配适配(确定优化后的逻辑能否与目标器件适配)、(确定优化后的逻辑能否与目标器件适配

    12、)、逻辑分割逻辑分割(将设计分割为多个便于适配的逻辑小块,如果整个设(将设计分割为多个便于适配的逻辑小块,如果整个设计不能装入一片器件时,则分割成多块并装入同一系列计不能装入一片器件时,则分割成多块并装入同一系列的多片器件中)、的多片器件中)、逻辑布局布线逻辑布局布线,产生最终的下载文件。,产生最终的下载文件。综合综合适配适配3.4 EDA开发流程-仿真 让计算机根据一定的算法和仿真库对设计进行让计算机根据一定的算法和仿真库对设计进行 模拟,以检验设计的正确,并排除错误。模拟,以检验设计的正确,并排除错误。 功能仿真:直接对设计输入的逻辑功能进行测功能仿真:直接对设计输入的逻辑功能进行测 试,

    13、了解原设计是否满足要求。仿真过程不涉及具试,了解原设计是否满足要求。仿真过程不涉及具 体器件的硬件特性。体器件的硬件特性。 时序仿真:在选择了具体器件并完成适配后的时序仿真:在选择了具体器件并完成适配后的 时序关系仿真,仿真结果中包含硬件延迟信息。因时序关系仿真,仿真结果中包含硬件延迟信息。因 仿真文件中包含器件的硬件特性,则仿真精度高。仿真文件中包含器件的硬件特性,则仿真精度高。仿真仿真1.3 EDA开发流程-编程下载与硬件测试把适配生成的下载或配置文件,通过编程器或编程把适配生成的下载或配置文件,通过编程器或编程电缆向目标器件(电缆向目标器件(FPGA或或CPLD)下载。通常对)下载。通常

    14、对CPLD、OTP FPGA和和FPGA的专用配置的专用配置ROM的下载称为的下载称为编程编程,对对FPGA中的中的SRAM进行直接下载方式称为进行直接下载方式称为配置。配置。对载入了设计的对载入了设计的FPGA或或CPLD的硬件系统进行测的硬件系统进行测试,验证设计项目在目标器件上的实际工作情况,最终试,验证设计项目在目标器件上的实际工作情况,最终完成设计任务。完成设计任务。编程下载编程下载硬件测试硬件测试4 实物展示5 小结 本次设计开发通过VHDL语言编程设计来实现数据采集系统,在Altera 公司开发的第三代EDA集成开发环境 MAXPLUS II中进行修改、编译、仿真,采用软硬件结合的方法对设计结果进行验证。在设计中,采用多进程描述的方法来进行程序设计,通过使用进程可以把整体的功能局部化、分块设计。多个进程通过进程间通信机制互相配合、达到设计要求。当进程比较多的时候,它们之间的配合问题就比较复杂,因此在设计之前应该合理规划安排。

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:基于VHDL的数据采集系统设计(大学生自作PPT)课件.ppt
    链接地址:https://www.163wenku.com/p-2855618.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库