书签 分享 收藏 举报 版权申诉 / 97
上传文档赚钱

类型第7章半导体存储器wax-11-97页PPT文档课件.ppt

  • 上传人(卖家):三亚风情
  • 文档编号:2431181
  • 上传时间:2022-04-17
  • 格式:PPT
  • 页数:97
  • 大小:3.28MB
  • 【下载声明】
    1. 本站全部试题类文档,若标题没写含答案,则无答案;标题注明含答案的文档,主观题也可能无答案。请谨慎下单,一旦售出,不予退换。
    2. 本站全部PPT文档均不含视频和音频,PPT中出现的音频或视频标识(或文字)仅表示流程,实际无音频或视频文件。请谨慎下单,一旦售出,不予退换。
    3. 本页资料《第7章半导体存储器wax-11-97页PPT文档课件.ppt》由用户(三亚风情)主动上传,其收益全归该用户。163文库仅提供信息存储空间,仅对该用户上传内容的表现方式做保护处理,对上传内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!
    4. 请根据预览情况,自愿下载本文。本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
    5. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007及以上版本和PDF阅读器,压缩文件请下载最新的WinRAR软件解压。
    配套讲稿:

    如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。

    特殊限制:

    部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。

    关 键  词:
    半导体 存储器 wax 11 97 PPT 文档 课件
    资源描述:

    1、第第7章章 半导体存储器半导体存储器 半导体存储器是一种由半导体器件构成的能够存半导体存储器是一种由半导体器件构成的能够存储数据、运算结果、操作指令的逻辑部件。主要储数据、运算结果、操作指令的逻辑部件。主要用于计算机的存储器。用于计算机的存储器。第第7章章 半导体存储器半导体存储器 7.1 概述概述7.2 只读存储器只读存储器7.3 随机存取存储器随机存取存储器 7.4 存储器容量的扩展存储器容量的扩展7.1 概概 述述 半导体存储器的特点及分类半导体存储器的特点及分类(1) 按按制造工艺制造工艺不同不同:可把存储器分成可把存储器分成TTLTTL型型和和MOSMOS型型存储器两大类。存储器两大

    2、类。 TTL型型速度快速度快,常用作计算机的高速缓冲存,常用作计算机的高速缓冲存储器。储器。 MOS型具有型具有工艺简单、集成度高、功耗低、工艺简单、集成度高、功耗低、成本低等成本低等特点,常用作计算机的大容量内存特点,常用作计算机的大容量内存储器。储器。7.1 概述概述 (2) 按按存储信号存储信号的原理不同的原理不同:分为静态存储器和动态存储器两种。分为静态存储器和动态存储器两种。静态存储器是以触发器为基本单元来存储静态存储器是以触发器为基本单元来存储0和和1的,的,在不失电的情况下,触发器状态不会改变;在不失电的情况下,触发器状态不会改变;动态存储器是用电容存储电荷的效应来存储二值信动态

    3、存储器是用电容存储电荷的效应来存储二值信号的。电容漏电会导致信息丢失,因此要求定时对号的。电容漏电会导致信息丢失,因此要求定时对电容进行充电或放电。电容进行充电或放电。 称为刷新。称为刷新。7.1 概概 述述(3) 从存、取功能上可以分为只读存储器(从存、取功能上可以分为只读存储器(ROM)和随机存储器(和随机存储器(RAM)两大类。)两大类。 只读存储器在正常工作状态下只能从中读取数据,只读存储器在正常工作状态下只能从中读取数据,不能快速地随时修改或重新写入数据。不能快速地随时修改或重新写入数据。 随机存储器在正常工作状态下就可以随时快速地向随机存储器在正常工作状态下就可以随时快速地向存储器

    4、里写入数据或从中读出数据。存储器里写入数据或从中读出数据。7.1 概概 述述 半导体存储器的技术指标半导体存储器的技术指标 存取容量存取容量:表示存储器存放二进制信息的多少。:表示存储器存放二进制信息的多少。二值信息以字的形式出现。一个字包含若干位。二值信息以字的形式出现。一个字包含若干位。一个字的位数称做字长。一个字的位数称做字长。 存储容量的表示:存储单元个数的总和,字数乘以存储容量的表示:存储单元个数的总和,字数乘以位数。位数。 选中哪些存储单元,由地址译码器的输出来决定。选中哪些存储单元,由地址译码器的输出来决定。即由地址码来决定。地址码的位数即由地址码来决定。地址码的位数n与字数之间

    5、存在与字数之间存在2n=字数的关系。如果某存储器有字数的关系。如果某存储器有10个地址输入端,个地址输入端,那它就能存储那它就能存储210=1024个字。个字。 存储器中二值代码都是以字的形式出现的。一个字的位数称做存储器中二值代码都是以字的形式出现的。一个字的位数称做字长字长。例如,。例如,16位构成一个字,该字的字长为位构成一个字,该字的字长为16位。一个存储位。一个存储单元只能存放一位二值代码,要存储字长为单元只能存放一位二值代码,要存储字长为16的一个字,就需的一个字,就需要要16个存储单元。若存储器能够存储个存储单元。若存储器能够存储1024个字,就得有个字,就得有102416个存储

    6、单元。通常个存储单元。通常,存储容量应表示为字数乘以位数。存储容量应表示为字数乘以位数。 例如,某存储器能存储例如,某存储器能存储1024个字个字 ,每个字,每个字4位,那它的存储容位,那它的存储容量就为量就为10244=4096,即该存储器有,即该存储器有4096个存储单元。个存储单元。 存储器写入(存)或者读出(取)时,每次只能写入或读出一存储器写入(存)或者读出(取)时,每次只能写入或读出一个字。若字长为个字。若字长为8位,每次必须选中位,每次必须选中8个存储单元。选中哪些存个存储单元。选中哪些存储单元,由地址译码器的输出来决定。即由地址码来决定。储单元,由地址译码器的输出来决定。即由地

    7、址码来决定。 地址码的位数地址码的位数n与字数之间存在与字数之间存在2n = 字数的关系。如果某存储器字数的关系。如果某存储器有十个地址输入端,那它就能存有十个地址输入端,那它就能存210=1024个字。个字。7.1 概概 述述 半导体存储器的技术指标半导体存储器的技术指标 存取周期存取周期:存储器的性能取决于存储器的存取速率。:存储器的性能取决于存储器的存取速率。存储器的存取速度用存取周期或读写周期来表征。存储器的存取速度用存取周期或读写周期来表征。把连续两次读(写)操作间隔的最短时间称为存取把连续两次读(写)操作间隔的最短时间称为存取周期。周期。7.1 概概 述述 3 常用存储器型号:常用

    8、存储器型号:SRAM: 2114(1K*4), 6264(8K*8)DRAM: 2164(64K*1)EPROM: 2716(2K*8), 2732(4K*8), 27128(16K*8), 27256(32K*8)EEPROM: 2816A(2K*8), 28C64(8K*8)7.2 只读存储器(ROM) ROM:是存储固定信息的存储器,使用时只:是存储固定信息的存储器,使用时只能读出所存的信息而不能写入数据。能读出所存的信息而不能写入数据。 通常用其存放固定的数据和程序,如计算机系通常用其存放固定的数据和程序,如计算机系统的引导程序、监控程序、用户控制程序、固统的引导程序、监控程序、用户控

    9、制程序、固化数据。化数据。 只读存储器为非易失性存储器只读存储器为非易失性存储器(nonvolatile memory),去掉电源,所存信息不会丢失。,去掉电源,所存信息不会丢失。7.2 只读存储器只读存储器 ROM可分为可分为: 掩膜只读存储器(掩膜只读存储器(Mask Read Only Memory, MROM) 可编程只读存储器可编程只读存储器(Programmable Read Only Memory,PROM) 紫外线可擦除可编程只读存储器紫外线可擦除可编程只读存储器(Erasable Programmable Read Only Memory,EPROM), 电擦除可编程只读存储

    10、器(电擦除可编程只读存储器(Electrically Erasable Programmable Read Only Memory,EEPROM),), Flash存储器存储器(也称快闪存储器也称快闪存储器)。7.2.1掩模只读存储器掩模只读存储器 掩模只读存储器是采用掩模工艺制作的,其中掩模只读存储器是采用掩模工艺制作的,其中的存储内容是已经由制造商按照用户的要求进的存储内容是已经由制造商按照用户的要求进行了专门设计。因此,掩模行了专门设计。因此,掩模ROM在出厂时内部在出厂时内部存储的数据就已经固化好了。掩模存储的数据就已经固化好了。掩模ROM的存储的存储数据可永久保存,在批量生产时成本最

    11、低。适数据可永久保存,在批量生产时成本最低。适用于存放固定不变的程序或数据。用于存放固定不变的程序或数据。1 1、固定固定ROM(掩掩模模ROM):在采用掩模工艺制作在采用掩模工艺制作ROM时,其中存储的数据是由时,其中存储的数据是由制作过程中使用的掩模版决定的。这种掩模版是按制作过程中使用的掩模版决定的。这种掩模版是按照用户的要求而专门设计的。因此,掩模照用户的要求而专门设计的。因此,掩模ROM在出在出厂时内部存储的数据就已经厂时内部存储的数据就已经“固化固化”在里边了。在里边了。掩模掩模ROM的存储数据可永久保存,适用于存放固定的存储数据可永久保存,适用于存放固定不变的程序或数据。不变的程

    12、序或数据。适合于大批量生产使用,性价比高。适合于大批量生产使用,性价比高。 7.2.1 掩模只读存储器掩模只读存储器图图7-1 ROM结构图结构图掩模掩模ROM由地址译码由地址译码器、存储矩阵、输出器、存储矩阵、输出和控制电路组成,如和控制电路组成,如图图7-1所示。所示。当地址译码器选中某一个字当地址译码器选中某一个字线后,该字线的若干位同时线后,该字线的若干位同时读出。读出。2124096字字XN位(位(N=8、16、32) 12位地址位地址:输出缓冲输出缓冲VCCA1A0D1D3D2D0VCC例:固定例:固定ROM地地址址译译码码器器NE 0W1W2W3W存存储储单单元元字线字线分析已存

    13、入数据的固分析已存入数据的固定定ROM电路。(二极电路。(二极管作存储单元)管作存储单元) 地址译码器地址译码器010AAW011AAW012AAW013AAW 存储单元存储单元0101133AAAAWWD0101010232AAAAAAWWWD0101011231AAAAAAWWWD0101020AAAAWWD 地址译码器是一个地址译码器是一个与门与门阵列阵列,每一个字线对应一个,每一个字线对应一个最小项,且是全部最小项。最小项,且是全部最小项。 存储单元是一个存储单元是一个或门阵列或门阵列,每一个位线,每一个位线是将所对应的与项相加,是最小项之和。是将所对应的与项相加,是最小项之和。位位线

    14、线地地址址译译码码器器存存储储单单元元0W1W2W3W 地址译码器(字线)(字线)和存储矩阵(位线)(位线)之间的关系。0101020AAAAWWD0101011231AAAAAAWWWD0101010232AAAAAAWWWD0101133AAAAWWDA1A0D3D2D1D00001010110101001111111100 01 10 01 11 10 01 10 00 01 11 11 11 11 11 10 00 00 01 11 1字线字线W W和位线和位线D D的每个交叉点都是的每个交叉点都是一个存储单元。交叉点接二极管一个存储单元。交叉点接二极管时相当于存时相当于存1 1,没有

    15、接二极管相当,没有接二极管相当于存于存0 0。交叉点的数目就是存储单。交叉点的数目就是存储单元数。元数。存储容量字数存储容量字数X位数位数4X4交叉点还可以接三极管、交叉点还可以接三极管、MOSMOS管等。管等。只有只有W W0 0为为1 1其余字线其余字线为为0 00 01 11 10有有0 0为为0 0,全,全1 1为为1 1。有有1 1为为1 1,全,全0 0为为0 0。输出缓冲输出缓冲VCCA1A0D1D3D2D0图图7-2 (44)的的 NMOS固定固定ROM输出电路输出电路图图7-4 327-4 32字字8 8位熔断丝结构位熔断丝结构PROMPROM这种电路存储内这种电路存储内容全

    16、部为容全部为0。如果想。如果想使某单元改写为使某单元改写为1,需要使熔丝通过大需要使熔丝通过大电流,使它烧断。电流,使它烧断。一经烧断,再不能一经烧断,再不能恢复。恢复。可编程只读存储器可编程只读存储器(PROM) 1A0A1A0 A0W1W2W3W0D1D2D3D简化简化 ROM通用阵列图表示法:将字线和位线画成相互垂直将字线和位线画成相互垂直的一个阵列,字线和位线的的一个阵列,字线和位线的每一个交叉点对应一个存储每一个交叉点对应一个存储单元,在交叉点上画一个单元,在交叉点上画一个“点点”,表示该单元存,表示该单元存“1”1”,否则表示该单元存否则表示该单元存“0”0”。1A0A1A0 A0

    17、W1W2W3W ROM框图地址码地址码字线字线位线位线与与阵列是输入阵列是输入变量的全部最变量的全部最小项。不可编小项。不可编程程。与项相与项相加,可加,可编程编程A1A0D3D2D1D00001010110101001111111100D1D2D3D例:用二极管作存储单元的固定ROM组合电路组合电路x1x2xnF1F2Fm组合逻辑电路框图组合逻辑电路框图输入输入逻辑逻辑变量变量输出输出逻辑逻辑函数函数ROM的结构 ROM应用可用ROM电路实现代码转换、函数运算、字符发生等函数。NXMROM应用举例应用举例:用用ROMROM实现组合逻辑函数实现组合逻辑函数例:用例:用ROMROM实现一位全加器

    18、实现一位全加器全加器真值表:全加器真值表:ABC iSCO0000010100111001011101110110100100010111最小项之和表达式最小项之和表达式S =m(1、2、4、7)C0 =m(3、5、6、7)画点阵图:AABBiCiCSOC0 1 2 3 4 5 6 7AB00 01 11 1001AB00 01 11 1001AB00 01 11 1001例:用例:用ROMROM实现多输出函数实现多输出函数BCACBCAF1CBAACF2BCAF3解:写出最小项之和表达式1 11 11 11 1 1 11 11 11 11 11 11 11 1mF),6430(1mF),75

    19、0(2mF),76543(3ABCABC1F2F3F0 1 2 3 4 5 6 7CCC图图7-2 (44)的的 NMOS固定固定ROM输出电路输出电路 图图7-2是一个是一个44位的位的NMOS掩模掩模ROM。 地址译码器:有两根地址输入线地址译码器:有两根地址输入线A1和和A0,共有共有4个地址信号,每个地址信号,每个地址存放一个个地址存放一个4位二进制信息;位二进制信息; 译码器输出线:译码器输出线:W0、W1、W2、W3称为字线,由输入的地址代称为字线,由输入的地址代码码A1A0确定选中哪条字线。被选中的数据经过输出缓冲器输出。确定选中哪条字线。被选中的数据经过输出缓冲器输出。 存储矩

    20、阵:是存储矩阵:是NMOS管的或门阵列。一个字有管的或门阵列。一个字有4位信息,故有四位信息,故有四条数据线条数据线D0、D1、D2、D3 输出又称为位线,它是字输出又称为位线,它是字位结构。存储矩阵实际上是一个编码位结构。存储矩阵实际上是一个编码器,工作时编码内容不变。位线经过反相后输出,即为器,工作时编码内容不变。位线经过反相后输出,即为ROM的的输出端输出端D0、D1、D2、D3。 每根字线和位线的交叉处是一个存储单元,共有每根字线和位线的交叉处是一个存储单元,共有16个单元。个单元。交叉处有交叉处有NMOS管的存储单元存储管的存储单元存储“1”,无,无NMOS管的存储管的存储单元存储单

    21、元存储“0”。 例如,当地址例如,当地址A1A0=00时,则时,则W0=1(W1、W2、W3均为均为0),),此时选中此时选中0号地址使第一行的两个号地址使第一行的两个NMOS管导通,管导通,D2=0, D0=0, D3=D1=1,经 输 出 电 路 反 相 后 , 输 出经 输 出 电 路 反 相 后 , 输 出D3D2D1D0=0101。因此,选中。因此,选中一个地址,该行的存储内容输一个地址,该行的存储内容输出。四个地址存储的内容如表出。四个地址存储的内容如表所示。所示。1100D01011D20101D10101D3内内 容容0 00 11 01 1A1 A0地地 址址 ROM中的信息

    22、表中的信息表 掩模掩模ROM的编程是设计者根据要求确定存储内容,设计出存的编程是设计者根据要求确定存储内容,设计出存储矩阵,即哪些交叉点(存储单元)的信息为储矩阵,即哪些交叉点(存储单元)的信息为1,哪些为,哪些为0。为。为1的制造管子,为的制造管子,为0的不需制造管子,画出存储矩阵编码图。通的不需制造管子,画出存储矩阵编码图。通常,存储矩阵中有管子处,用常,存储矩阵中有管子处,用“码点码点”表示,由生产厂制作。表示,由生产厂制作。图图7-2的存储矩阵简化编码图如图的存储矩阵简化编码图如图7-3所示。所示。 位线与字线之间逻辑关位线与字线之间逻辑关系为:系为: D0=W0+W 1+W 3 D1

    23、=W1+W3 D2=W0+W2+W3 D3=W1+W3图图7-3 图图7-2中中ROM的点阵图的点阵图 存储矩阵的输出和输入是或的关系,这种存储矩阵是或存储矩阵的输出和输入是或的关系,这种存储矩阵是或矩阵。地址译码器的输出和输入是与的关系,因此矩阵。地址译码器的输出和输入是与的关系,因此ROM是一个多输入变量(地址)和多输出变量(数据)是一个多输入变量(地址)和多输出变量(数据)的与或逻辑阵列。的与或逻辑阵列。 7.2.2 可编程只读存储器可编程只读存储器(PROM) PROM和和ROM的区别在于的区别在于ROM由厂家编程,由厂家编程,PROM由用户编由用户编程。出厂时程。出厂时PROM的内容

    24、全是的内容全是0或全是或全是1,使用时,用户可以根,使用时,用户可以根据需要编好代码,写入据需要编好代码,写入PROM中。中。由一只三极管和串在发射极的快速熔断丝组成。熔丝用很细的由一只三极管和串在发射极的快速熔断丝组成。熔丝用很细的低熔点合金丝或多晶硅导线制成。低熔点合金丝或多晶硅导线制成。由于熔丝烧断后不可恢复,故只能写入一次。由于熔丝烧断后不可恢复,故只能写入一次。PROM是由用是由用户用专用的写入器将信息写入。户用专用的写入器将信息写入。适合于小批量试产使用,有保密位,可以加密。价格较高。适合于小批量试产使用,有保密位,可以加密。价格较高。图图7-4 327-4 32字字8 8位熔断丝

    25、结构位熔断丝结构PROMPROM这种电路存储内这种电路存储内容全部为容全部为0。如果想。如果想使某单元改写为使某单元改写为1,需要使熔丝通过大需要使熔丝通过大电流,使它烧断。电流,使它烧断。一经烧断,再不能一经烧断,再不能恢复。恢复。可编程只读存储器可编程只读存储器(PROM) 图图7-4为一种为一种PROM的结构图,存储矩阵的存储单元由双极型的结构图,存储矩阵的存储单元由双极型三极管和熔断丝组成。存储容量为三极管和熔断丝组成。存储容量为328位,存储矩阵是位,存储矩阵是32行行8列,出厂时每个发射极的熔断丝都是连通的。列,出厂时每个发射极的熔断丝都是连通的。 地址译码器输出线为高电平有效,地

    26、址译码器输出线为高电平有效,32根字线分别接根字线分别接32行的行的多发射极晶体管的基极,地址译码受片选信号控制,当多发射极晶体管的基极,地址译码受片选信号控制,当CS=0时,选中该芯片能够工作,输入地址有效,译码输出时,选中该芯片能够工作,输入地址有效,译码输出线中某一根为高电平,选中一个地址。当线中某一根为高电平,选中一个地址。当CS= 1时,译码时,译码输出全部为低电平,此片存储单元不工作。输出全部为低电平,此片存储单元不工作。 读写控制电路供读出和写入之用。读写控制电路供读出和写入之用。 在写入时,在写入时,VCC接接+12V电源,某位写入电源,某位写入1时,该数据线时,该数据线为为1

    27、,写入回路中的稳压管,写入回路中的稳压管DW击穿,击穿,T2导通,选中单元导通,选中单元的熔断丝通过足够大的电流而烧断;若输入数据为的熔断丝通过足够大的电流而烧断;若输入数据为0,写入电路中相对应的写入电路中相对应的T2管不导通,该位对应的熔断丝仍管不导通,该位对应的熔断丝仍为连通状态,存储的为连通状态,存储的0信息不变。信息不变。 读出时,读出时,VCC接接+5V电源,低于稳压管的击穿电压,所电源,低于稳压管的击穿电压,所有有T2管都截止,如被选中的某位熔断丝是连通的,管都截止,如被选中的某位熔断丝是连通的,T1管管导通,输出为导通,输出为0;如果熔断丝是断开的,;如果熔断丝是断开的,T1截

    28、止,读出截止,读出1信号。信号。7.2.3 可擦可编程只读存储器可擦可编程只读存储器(EPROM) 程序调试期间使用程序调试期间使用 可擦除可编程存储器又可以分为:可擦除可编程存储器又可以分为:l光可擦除可编程存储器光可擦除可编程存储器:用紫外光可以擦除用紫外光可以擦除ROM中全部信息。中全部信息。擦除二十分钟,然后用专用编程器进行编程写入。型号:擦除二十分钟,然后用专用编程器进行编程写入。型号:intel 2716 2732 2764l电可擦除可编程存储器电可擦除可编程存储器E2PROM:电擦除电擦除ROM,直接在编,直接在编程器上用电压信号进行擦除。重新写入和擦除同步进行。程器上用电压信号

    29、进行擦除。重新写入和擦除同步进行。擦除时间为擦除时间为20ms。型号:。型号:intel 2816 2832 2864l快闪存储器快闪存储器(Flash Memory):直接在系统中擦除和改写,可直接在系统中擦除和改写,可以擦除全部内容,也可以只擦除部分字节。以擦除全部内容,也可以只擦除部分字节。 1 光可擦除可编程存储器光可擦除可编程存储器EPROM 光可擦除可编程存储器光可擦除可编程存储器EPROM是采用浮栅技术生产的可编是采用浮栅技术生产的可编程存储器,它的存储单元多采用程存储器,它的存储单元多采用N沟道叠栅沟道叠栅MOS管,简称管,简称SIMOS管。它是一个管。它是一个N沟道增强型的沟

    30、道增强型的MOS管,有两个重叠的管,有两个重叠的栅极:控制栅和没有外引线的浮置栅。栅极:控制栅和没有外引线的浮置栅。常用的常用的EPROM有美国有美国Intel公司的公司的2716(2K8位)、位)、2732(4K8位)、位)、2764(8K8位)和位)和27128(16K8位)。位)。 图为图为2716的引脚排列。的引脚排列。2716有有24个引个引脚,内部有行、列译码,地址输入端脚,内部有行、列译码,地址输入端A0A10 11个;数据输出端个;数据输出端8个个O0O7为为双向三态,编程时作为输入,为写入双向三态,编程时作为输入,为写入数据的输入端,正常工作时为输出端,数据的输入端,正常工作

    31、时为输出端,可直接与数据总线相接;有两种电源可直接与数据总线相接;有两种电源输入端,输入端,VCC接接5V,VPP正常读出时接正常读出时接5V,编程写入时接,编程写入时接25V;CS端为允许端为允许输出控制端(片选控制端),低电平输出控制端(片选控制端),低电平时允许读出,高电平时编程或使输出时允许读出,高电平时编程或使输出呈高阻状态;呈高阻状态;PD/PGM为低功耗编程为低功耗编程控制端。控制端。 2、E2PROM 采用了一种叫做采用了一种叫做Flotox(Floating Gate Tunnel Oxide)的的浮栅隧道氧化层的浮栅隧道氧化层的MOS管。管。Flotox管与管与SIMOS管

    32、相似管相似,它它也属于也属于N沟道增强型的沟道增强型的MOS管管,并且有两个栅极并且有两个栅极控制控制栅栅Gc和浮置栅和浮置栅Gf。 Intel 公司的公司的2864是是8KX8的的EEPROM,它,它的引脚如图的引脚如图7-9所示。所示。它有它有13个地址输入引脚个地址输入引脚和和8个数据个数据I/O引脚。三引脚。三个控制输入决定其工作个控制输入决定其工作模式。模式。CE为高电平时,为高电平时,工作在低功耗的等待模工作在低功耗的等待模式,此时存储单元都不式,此时存储单元都不工作,数据管处于高阻工作,数据管处于高阻状态。状态。图 2864 EEPROM的引脚图在读取存储单元的内容时,在读取存储

    33、单元的内容时,将正确的地址放到地址引脚将正确的地址放到地址引脚上,把上,把CE 置为低电平,输出置为低电平,输出使能引脚使能引脚OE也置为低电平,也置为低电平,使输出数据缓冲器处于工作使输出数据缓冲器处于工作状态。在进行读操作时,写状态。在进行读操作时,写使能引脚使能引脚WE要置为高电平。要置为高电平。图 2864 EEPROM的引脚图在对某个存储单元进行写入操作时,将在对某个存储单元进行写入操作时,将OE始终置为高电平,这样输出缓冲器被关闭,始终置为高电平,这样输出缓冲器被关闭,可以将要写入的数据放到可以将要写入的数据放到I/O引脚。首先将引脚。首先将正确的地址放到地址引脚上,把正确的地址放

    34、到地址引脚上,把CE和和WE置为低电平,将数据送到置为低电平,将数据送到I/O引脚,把引脚,把CE和和WE置为高电平,数据被锁存在触发式的置为高电平,数据被锁存在触发式的缓冲存储器中。当选定的地址单元擦除完缓冲存储器中。当选定的地址单元擦除完成后,将数据从缓冲器传到成后,将数据从缓冲器传到EEPROM阵列,阵列,并存储到指定单元;其擦除和写入操作一并存储到指定单元;其擦除和写入操作一般需要般需要5ms。图 2864 EEPROM的引脚图因为因为EEPROM内部存储数据的过内部存储数据的过程很慢,所以数据传输操作的速度程很慢,所以数据传输操作的速度也可以慢一些。因此,将也可以慢一些。因此,将EE

    35、PROM器件采用器件采用8引脚封装,可引脚封装,可以与以与2线或线或3线的串行总线连接。例线的串行总线连接。例如,目前经常使用的如,目前经常使用的93C46就是串就是串行行3线的线的EEPROM,其引脚排列如,其引脚排列如图图7-10所示。所示。 图 串行3线的EEPROM 93C46 3快闪存储器快闪存储器(Flash Memory) 快闪存储器吸收了快闪存储器吸收了EPROM结构简单、编程可靠的优点,又结构简单、编程可靠的优点,又保留了保留了EEPROM用隧道效应擦除的快捷特性,而且集成度可用隧道效应擦除的快捷特性,而且集成度可以做得很高。其结构与以做得很高。其结构与SIMOS管相似管相似

    36、,二者区别在于快闪存二者区别在于快闪存储器中储器中MOS管浮置栅与衬底间氧化层的厚度不到管浮置栅与衬底间氧化层的厚度不到SIMOS管管中的一半。中的一半。图图7-12是是Intel公司的公司的28F256A(32KX8) CMOS快闪存储器芯快闪存储器芯片的引脚图。片的引脚图。28F256A有有15条地址条地址线,线,8条数据线,条数据线,3条控制线,条控制线,2条条电源线。电源线。VCC是逻辑电路电源,是逻辑电路电源,VPP是擦除和编程的供电电源,一是擦除和编程的供电电源,一般为般为12V。新型的闪存芯片内部产。新型的闪存芯片内部产生生VPP,外部只需一个,外部只需一个VCC电源供电源供电。

    37、电。 图 28F256A 快闪存储器芯片的引脚图图图7-13是是Atmel公司的公司的AT29C256(32KX8)CMOS快闪存储器芯片,快闪存储器芯片,AT29C256不需要高输入编程电压,只不需要高输入编程电压,只需单需单5V的工作电压。的工作电压。AT29C256的访问存取时间是的访问存取时间是70ns,功耗是,功耗是275mW。 图7-13 AT29C256引脚图7.3 随机存取存储器随机存取存储器 随机存取存储器随机存取存储器RAM (Random Access Memory)可随时从任可随时从任一指定地址存入一指定地址存入(写入写入)或取出或取出(读出读出)信息。信息。 用于存放

    38、中间数据,中间变量和结果,待调试程序。用于存放中间数据,中间变量和结果,待调试程序。 RAM分为静态分为静态RAM (Static RAM,SRAM)和动态和动态RAM (Dynamic RAM,DRAM) ;静态;静态RAM又分为双极型和又分为双极型和MOS型。型。 静态存储器是以触发器为基本单元来存储静态存储器是以触发器为基本单元来存储0和和1的,在不失电的,在不失电的情况下,触发器状态不会改变;的情况下,触发器状态不会改变; 动态存储器是用电容存储电荷的效应来存储二值信号的。电动态存储器是用电容存储电荷的效应来存储二值信号的。电容漏电会导致信息丢失,因此要求定时对电容进行充电或放容漏电会

    39、导致信息丢失,因此要求定时对电容进行充电或放电。电。 由于动态存储器存储单元的结构非常简单,所以它所能达到由于动态存储器存储单元的结构非常简单,所以它所能达到的集成度远高于静态存储器。但动态存储器的存取速度不如的集成度远高于静态存储器。但动态存储器的存取速度不如静态存储器快。静态存储器快。 SRAM一旦将一旦将1个字写入某个存储位置中,只要不断电,其个字写入某个存储位置中,只要不断电,其存储内容保持不变,除非该存储位置被重新写入信息。静态存储内容保持不变,除非该存储位置被重新写入信息。静态RAM的结构类似的结构类似ROM,只是它的存储单元是由双稳态触发,只是它的存储单元是由双稳态触发器来记忆信

    40、息的,一旦触发器被触发,在不断电情况下,它器来记忆信息的,一旦触发器被触发,在不断电情况下,它的状态将被保持到下一次的触发信号到来,在这期间,读触的状态将被保持到下一次的触发信号到来,在这期间,读触发器的状态不会改变触发器状态,即它可在不断电的情况下发器的状态不会改变触发器状态,即它可在不断电的情况下反复高速读写,无限制。反复高速读写,无限制。 SRAM的常见应用的常见应用 在小的微处理机系统中做数据存储,通常是在在小的微处理机系统中做数据存储,通常是在“嵌入式嵌入式”应应用中,如电话、烤炉、电子减振器等。通用计算机中常用用中,如电话、烤炉、电子减振器等。通用计算机中常用DRAM。 超快速超快

    41、速SRAM通常在高性能计算机的通常在高性能计算机的“高速缓冲高速缓冲”存储器中存储器中存储常用指令和数据。存储常用指令和数据。 DRAM必须对存储的数据进行读出和重写操作来周期地刷新,必须对存储的数据进行读出和重写操作来周期地刷新,否则存储器中的数据将会消失。动态否则存储器中的数据将会消失。动态RAM的存储单元是由电容的存储单元是由电容存储电荷来记忆信息的,考虑到集成度,这些电容容量都很小存储电荷来记忆信息的,考虑到集成度,这些电容容量都很小,而与这些电容相连器件的输入电阻总是一有限的高阻,所以,而与这些电容相连器件的输入电阻总是一有限的高阻,所以在这些电容上的电荷存在放电现象。为了维持电容上

    42、记忆的信在这些电容上的电荷存在放电现象。为了维持电容上记忆的信息,需在一定的时间内不断刷新存储单元。所以动态息,需在一定的时间内不断刷新存储单元。所以动态RAM在使在使用上不如静态用上不如静态RAM方便,但它的集成度比静态方便,但它的集成度比静态RAM高,且价高,且价格相对较低。格相对较低。 大多数大多数RAM在断电后,所存储的数据会消失,是易失性存在断电后,所存储的数据会消失,是易失性存储器储器(volatile memory)。 7.3.1 静态随机存储器静态随机存储器SRAM 1. SRAM结构和工作原理结构和工作原理 SRAM由存储矩阵、地址译由存储矩阵、地址译码器和读码器和读/写控制

    43、电路三部写控制电路三部分电路组成,其结构框图如分电路组成,其结构框图如图图7-14所示。(读写)所示。(读写)图7-14 SRAM的结构框图 1. SRAM结构和工作原理结构和工作原理 地址译码器分成行地址译码地址译码器分成行地址译码器和列地址译码器两部分。器和列地址译码器两部分。行地址译码器将输入地址代行地址译码器将输入地址代码的若干位译成某一条字线码的若干位译成某一条字线的输出高、低电平信号,从的输出高、低电平信号,从存储矩阵中选中一行存储单存储矩阵中选中一行存储单元;列地址译码器将输入地元;列地址译码器将输入地址代码的其余几位译成某一址代码的其余几位译成某一条输出线上的高、低电平信条输出

    44、线上的高、低电平信号,从字线选中的一行存储号,从字线选中的一行存储单元中再选单元中再选1位(或几位),位(或几位),实现读或写操作。实现读或写操作。图7-14 SRAM的结构框图 7.3.1 静态随机存储器静态随机存储器SRAM 1. SRAM结构和工作原理结构和工作原理 读读/写控制电路用于对存储单写控制电路用于对存储单元的读元的读/写操作进行控制。当写操作进行控制。当读读/写控制信号写控制信号R/W=1时,执时,执行读操作,将存储单元里的行读操作,将存储单元里的数据送到输入数据送到输入/输出端。当读输出端。当读/写控制信号写控制信号R/W=0时,执行时,执行写操作,将输入写操作,将输入/输

    45、出端上的输出端上的数据写入到存储单元中。数据写入到存储单元中。读读/写控制电路中的写控制电路中的CS是片是片选输入控制端。当选输入控制端。当CS=0时,时,SRAM可以进行正常的读可以进行正常的读/写写操作;当操作;当CS=1时,所有的输时,所有的输入入/输出端均为高阻态,对输出端均为高阻态,对SRAM不可以进行读不可以进行读/写操作。写操作。 图7-14 SRAM的结构框图 7.3.1 静态随机存储器静态随机存储器SRAM 双极型双极型RAM的优点是速度快,但功耗大,集成度不高,大容的优点是速度快,但功耗大,集成度不高,大容量量RAM一般都是一般都是MOS型的。型的。3. MOS型型SRAM

    46、的存储单元的存储单元4. SRAM芯片举例芯片举例 图图7-17是是Intel公司的公司的MOS型型SRAM 2114(10244位)的位)的结构图,图结构图,图7-17是是2114的引脚的引脚图。采用图。采用X、Y双向译码方式,双向译码方式,4096个存储单元排列成个存储单元排列成64行行64列矩阵,列矩阵,64列中每四列为列中每四列为一组,分别由一组,分别由16根根Y译码输出译码输出线控制,即每一根译码输出线线控制,即每一根译码输出线控制存储矩阵中四列的数据输控制存储矩阵中四列的数据输入、输出通路,读写操作在入、输出通路,读写操作在R/W(读(读/写信号)和写信号)和CS(选(选片信号)的

    47、控制下进行。当片信号)的控制下进行。当CS=0且且R/W =1时,实现读时,实现读出操作,当出操作,当CS =0且且R/W=0时执行写操作。时执行写操作。 图7-17 2114 SRAM存储器结构图 图图7-19是是6264(8KX8)SRAM的引脚图,它有的引脚图,它有28个引脚,使个引脚,使用用5V电源供电,其操作方式如电源供电,其操作方式如表表7-3所示。所示。6264有有13条地址线,条地址线,寻址范围是寻址范围是8K;D7-D0为为8位数位数据输入据输入/输出线,可与输出线,可与CPU的数的数据总线连接;据总线连接;CS1和和CS2为片选为片选信号线,信号线,CS1低有效,低有效,C

    48、S2高有高有效;效;WE为写允许信号线,用为写允许信号线,用来控制存储器的写入操作;来控制存储器的写入操作;OE为读允许信号线,用来控为读允许信号线,用来控制存储器的读出操作。制存储器的读出操作。 图7-19 SRAM 6264引脚图 7.3. 2 动态随机存储器动态随机存储器DRAM 动态动态RAM与静态与静态RAM的区别在于:信息的存储单元是由的区别在于:信息的存储单元是由门控管和电容组成。用电容上是否存储电荷表示存门控管和电容组成。用电容上是否存储电荷表示存1或存或存0。为防止因电荷泄漏而丢失信息,需要周期性地对这种。为防止因电荷泄漏而丢失信息,需要周期性地对这种存储器的内容进行重写,称

    49、为刷新。动态存储器的内容进行重写,称为刷新。动态MOS存储单元存储单元电路主要是三管和单管结构。电路主要是三管和单管结构。 2. DRAM芯片举例芯片举例图图7-21是单管是单管DRAM 2116(16KX1)芯片)芯片的结构图,它共有的结构图,它共有16个引脚个引脚,其中其中A0A6为地址输入线;而为地址输入线;而2116的容量为的容量为16K,需要需要14条地址线,条地址线,为此为此2116采用了地址采用了地址线分时复用技术,线分时复用技术,14位地址码分行、位地址码分行、列两部分,分两次列两部分,分两次由由7条地址线与芯片条地址线与芯片相连。相连。图7-21 2116 DRAM芯片的结构

    50、图7. 4 存储器容量的扩展存储器容量的扩展 存储器的种类很多,存储容量有大有小。当一片存储器不存储器的种类很多,存储容量有大有小。当一片存储器不能满足存储容量需要时,就需要将若干片存储器组合起来,能满足存储容量需要时,就需要将若干片存储器组合起来,构成满足存储容量要求的存储器。构成满足存储容量要求的存储器。 RAM的扩展分为:的扩展分为: 位扩展位扩展 字扩展字扩展 字数满足要求,而位数不够时,应采用位扩展。字数满足要求,而位数不够时,应采用位扩展。 实现位扩展的原则是:实现位扩展的原则是:多个单片多个单片RAM的的I/O端并行输出。端并行输出。多个多个RAM的的CS接到一起,作为接到一起,

    展开阅读全文
    提示  163文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
    关于本文
    本文标题:第7章半导体存储器wax-11-97页PPT文档课件.ppt
    链接地址:https://www.163wenku.com/p-2431181.html

    Copyright@ 2017-2037 Www.163WenKu.Com  网站版权所有  |  资源地图   
    IPC备案号:蜀ICP备2021032737号  | 川公网安备 51099002000191号


    侵权投诉QQ:3464097650  资料上传QQ:3464097650
       


    【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。

    163文库